uvm_component---super.xxx_phase

对于build_phase来说,uvm_component对其做的最重要的事情就是自动获取通过config_db::set设置的参数。如果要关掉这个功能,可以在自己的build_phase中不调用super.build_phase。
参考链接:https://www.cnblogs.com/xuqing125/p/15761692.html

uvm_component对应的phase定义
  • connect/start_of_simulation等其实都没有定义任何东西,都可以作为一个callback函数来使用。
12个task
  • 12个task更是没有做任何有意义的事情。所以super.xxx_phase是没有任何意义的

你可能感兴趣的:(uvm_component---super.xxx_phase)