FPGA——三段式状态机(1)

        状态机全称是有限状态机(Finite State Machine、FSM),是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。状态机可根据控制信号完成预定的状态转换,由组合逻辑电路和寄存器组成,可由状态转换表或状态转换图描述。

        输出只和当前状态有关而与输入无关成为Moore型状态机,输出和当前状态、输入都有关称为Mealy型状态机

1、状态机标准

        好的状态机的标准很多,最重要的几个方面如下:

        第一,状态机要安全,是指FSM不会进入死循环,特别是不会进入非预知的状态,而且由于某些扰动进入非设计状态,也能很快的恢复到正常的状态循环中来。这里面有两层含义:其一要求该FSM的综合实现结果无毛刺等异常扰动;其二要求FSM要完备,即使受到异常扰动进入非设计状态,也能很快恢复到正常状态。

        第二,状态机的设计要满足设计的面积和速度的要求。

        第三,状态机的设计要清晰易懂、易维护。

2、状态机描述方法

        状态机描述时关键是要描述清楚几个状态机的要素,即如何进行状态转移,每个状态的输出是什么,状态转移的条件等。具体描述时方法各种各样,最常见的有三种描述方式。

2.1 一段式状态机

        将整个状态机写到 1 个 always 模块里面,在该模块中即描述状态转移,又描述状态的输入和输出,这种写法一般被称为一段式 FSM 描述方法。

FPGA——三段式状态机(1)_第1张图片

        缺点:A、不符合时序和组合逻辑分开描述的代码风格;B、不利于修改、维护;C、不利于附加约束;D、不利于综合器和布局布线器对设计的优化;E、代码冗长。

2.2 两段式状态机

        用 2 个always 模块描述状态机,其中一个 always 模块采用同步时序描述状态转移;另一个模块采用组合逻辑判断状态转移条件,描述状态转移规律,这种写法被称为两段式 FSM 描述方法。

FPGA——三段式状态机(1)_第2张图片

        缺点:其输出一般使用组合逻辑描述,而组合逻辑易产生毛刺等不稳定因素。

2.3 三段式状态机

        在两段式描述方法基础上,使用 3 个 always 模块,一个 always模块采用同步时序描述状态转移;第二个采用组合逻辑判断状态转移条件,描述状态转移规律;第三个 always 模块描述状态输出(可以用组合电路输出,也可以用时序电路输出)。三段式建模描述FSM的状态机输出时,只需指定case敏感表为次态寄存器,然后直接在每个次态的case分支中描述该状态的输出即可,不用考虑状态转移条件。

FPGA——三段式状态机(1)_第3张图片

         优点:A、FSM做到了同步寄存器输出;B、消除了组合逻辑输出的不稳定与毛刺的隐患;C、更利于时序路径分组;D、在FPGA/CPLD等可编程逻辑器件上的综合与布局布线效果更佳。

        实例:

//第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器
always @ (posedge clk or negedge rst_n) //异步复位
if(!rst_n)
current_state <= IDLE;
else
current_state <= next_state; //注意,使用的是非阻塞赋值
//第二个进程,组合逻辑always模块,描述状态转移条件判断
always @ (current_state) //电平触发
begin
next_state = x; //要初始化,使得系统复位后能进入正确的状态
case(current_state)
S1: if(...)
next_state = S2; //阻塞赋值
...
endcase
end
//第三个进程,同步时序always模块,格式化描述次态寄存器输出
always @ (posedge clk or negedge rst_n)
...//初始化
case(next_state)
S1:
out1 <= 1'b1; //注意是非阻塞逻辑
S2:
out2 <= 1'b1;
default:... //default的作用是免除综合工具综合出锁存器
endcase
end

两段式有限状态机与三段式有限状态机的区别

        FSM将时序部分(状态转移部分)和组合部分(判断状态转移条件和产生输出)分开,写为两个always语句,即为两段式有限状态机。

        将组合部分中的判断状态转移条件和产生输入再分开写,则为三段式有限状态机。

区别:

        二段式在组合逻辑特别复杂时适用,但要注意需在后面加一个触发器以消除组合逻辑对输出产生的毛刺。三段式没有这个问题,由于第三个always会生成触发器。

3、状态机的种类

        状态机分摩尔(Moore)型有限状态机与米利(Mealy)型有限状态机。

3.1 摩尔(Moore)型有限状态机

        Moore有限状态机输出只与当前状态有关,与输入信号的当前值无关。在时钟脉冲的有效边沿作用后的有限个门延后,输出达到稳定值。从时序上看,Moore状态机属于同步输出状态机。Moore有限状态机最重要的特点就是将输入与输出信号隔离开来。

FPGA——三段式状态机(1)_第4张图片

 3.2 米利(Mealy)型有限状态机

        Mealy状态机的输出是现态和所有输入的函数,随输入变化而随时发生变化。从时序上看,Mealy状态机属于异步输出状态机,它不依赖于时钟。

FPGA——三段式状态机(1)_第5张图片

 4、注意

1.编码原则

        binary和gray-code适用于触发器资源较少,组合电路资源丰富的情况(CPLD),对于FPGA,适用one-hot code。这样不但充分利用FPGA丰富的触发器资源,还因为只需比较一个bit,速度快,组合电路简单。

2.FSM初始化问题

        GSR(Gobal Set/Reset)只是在加电时清零所有的reg和片内ram,并不保证FSM能进入初始化状态,要利用GSR,方案是适用one-hot code with zero idle,即初始状态编码为全零。已可以适用异步复位rst

3.FSM输出可以适用task

4.FSM中的case最好加上default,默认态可以设为初始态

5.尤其注意:

        第二段的always(组合部分,赋值用=)里面判断条件一定要包含所有情况!可以用else保证包含完全。

6第二段always中,组合逻辑电平要维持超过一个clock,仿真时注意。

你可能感兴趣的:(FPGA学习笔记,fpga开发)