VivadoAndTcl: get_cells

get_cells的用法:

get_cells  [-hsc ] [-hierarchical] [-regexp] [-nocase] [-filter ] [-of_objects ] [-match_style ] [-include_replicated_objects] [-quiet] [-verbose] []

[-hsc ]:用于指定一个层级来进行检索,默认是/,不指定层级会报错。

[-hierarchical]:一个层级一个层级进行检索。

[-filter]:过滤表达式。

[-of_objects]:获得这些cells的pins、timing paths、nets、bels、clock regions、sites或者drc violations,实际还是需要调用get_pings等这些语句。

想要获取一个指定的cell,可以使用-hierarchical功能。

举例想要查找到TestBufgMux这个cell,则使用如下语句:

get_cells -hierarchical *TestBufgMux*
# 打印结果为user_application_inst/TestBufgMux

你可能感兴趣的:(#,VivadoAndTcl,fpga开发,硬件工程,fpga)