Vivado link synplify edf 和 xilinx ip或者原语

摘要:Vivado link synplify edf 和 xilinx ip或者原语

如果只有ip的话:

read_edif ./XX.edif

添加IP

link_design -top XX -part XX

write_checkpoint linked.dcp

-part指的是FPGA 器件

-top指的是顶层的名字,乱填会报错

read_edif需要指定edf的路径

如果既有ip,又有原语的话:

先在原语上包一层wrapper,生成dcp

read_edif ./XX.edif

添加IP

link_design -top XX -part XX

read_checkpoint -cell XX ./XX_ooc.dcp

write_checkpoint linked.dcp

read_edif导入顶层edf

-cell 指的是top下例化instance的绝对路径

查看xilinx回复:

AMD Customer Community

参考文章:

AMD Customer Community

你可能感兴趣的:(fpga开发)