verilog dump内部数组波形

开源的iverilog gtkwave,内部数组无法查看波形,
可以展平再调用dumpvars。多维数组多加几层for。

简单测试

reg[31:0] mem[0:31];
integer i;
initial begin
	for (i = 0; i < 32; i = i+ 1)
		dumpvars(0,mem[i]);
end

verilog dump内部数组波形_第1张图片

你可能感兴趣的:(fpga,fpga开发)