芯片后端之 PT 使用 report_timing 产生报告 之 常用命令

滴水穿石,坚持加持~

pt_shell> report_timing -from -to -delay max/min

pt_shell> report_timing -from -to -delay max/min  -nosplit

pt_shell> get_cells *data_reg[0]*  -hierarchical 

pt_shell> get_cells top/*data_reg[0]*  -hierarchical 

pt_shell> get_cells top/block1/*  -hierarchical 

pt_shell> get_pins  top/block1/reg1*  

pt_shell> get_pins  top/block1/reg1/CK

pt_shell> get_pins  top/block1/QN

pt_shell>  get_attribute [get_pins  top/block1/CK] clocks

pt_shell> report_clock  CLK1 

pt_shell> report_clock  CLK2

pt_shell> get_clock {CLK1 CLK2}

pt_shell> get_clock_relationship {CLK1 CLK2}

你可能感兴趣的:(GLS网表仿真,那些应该啃得硬骨头,PrimeTime)