基于zynq的SGMII调试

一、SGMII的概念:

如果说到SGMII则会想到MII、GMII、XGMII、QGMII等信号接口。
SGMII–Serial Gigabit Media IndependentInterfaceSGMII是PHY与MAC之间的接口,类似与GMII和RGMII,只不过GMII和RGMII都是并行的,而且需要随路时钟,PCB布线相对麻烦,而且不适应背板应用。而SGMII是串行的,不需要提供另外的时钟,MAC和PHY都需要CDR去恢复时钟。另外SGMII是有8B/10b编码的,速率是1.25。所以,SGMII需要PHY来做8b/10b的解码工作。
SGMII在物理上是兼容SERDES信号的,所以走的通道也是SERDESlane。
(该部分概念转载自https://blog.csdn.net/pankul/article/details/8752327)

二、工程建立

该工程采用Xilinx自带IP核(1G/2.5G Ethernet PCS/PMA or SGMII)进行工程建立,该IP核配置如下所示:
基于zynq的SGMII调试_第1张图片
基于zynq的SGMII调试_第2张图片
基于zynq的SGMII调试_第3张图片
基于zynq的SGMII调试_第4张图片
其他选项默认。
最终建立工程如下所示:(ps端引出的网口是从EMIO引出)
基于zynq的SGMII调试_第5张图片

三、下板调试

添加好XDC文件,生成bit文件,导出SDK,这些步骤不在细述。这里我选用的FPGA芯片为XC7Z045.
时间太晚了,先更新至此,后面再更新SDK调试内容;

你可能感兴趣的:(高速接口)