vivado下使用ILA抓取波形

第一部分:RTL设计
    在RTL中想要抓取的信号前加上(*keep = "TRUE"*)例如想要抓取cnt信号:
     (*keep = "TRUE"*)reg [3:0] cnt = 4'd0;

第二部分:加入ILA核
   在vivado工程中打开IP Catalog选项,找到ILA核
vivado下使用ILA抓取波形_第1张图片
进入配置ILA核的选项:
  第一页

vivado下使用ILA抓取波形_第2张图片

第二页:

vivado下使用ILA抓取波形_第3张图片

配置结束之后点击OK


第三部分:在RTL中嵌入ILA核

在vivado工程的IP source中找到刚刚生成的ILA核的例化代码

vivado下使用ILA抓取波形_第4张图片


将例化代码拷贝到所设计的RTL中:

vivado下使用ILA抓取波形_第5张图片

注意:clk需连接到所要观察信号的相应时钟域,一个RTL设计中可加入多个ILA核,以便观测不同的信号。


第四部分:使用vivado在线抓去波形

(1)修改完RTL后,点击Generate Bitstream生成bit文件

(2)开发板上电,连接上JTAG下载器,然后打开open Target

vivado下使用ILA抓取波形_第6张图片


点击open new target

vivado下使用ILA抓取波形_第7张图片


点击next

vivado下使用ILA抓取波形_第8张图片


点击next

vivado下使用ILA抓取波形_第9张图片


点击next

vivado下使用ILA抓取波形_第10张图片


点击finish

vivado下使用ILA抓取波形_第11张图片

此处若出现错误可以忽略

点击左下角的program device

vivado下使用ILA抓取波形_第12张图片


点击program

vivado下使用ILA抓取波形_第13张图片


开始下载

vivado下使用ILA抓取波形_第14张图片


下载完成后,vivado界面开始发生变化

vivado下使用ILA抓取波形_第15张图片


添加信号(默认已经添加)

vivado下使用ILA抓取波形_第16张图片



修改触发条件(cnt=2),触发深度(1024),触发位置(500)

vivado下使用ILA抓取波形_第17张图片


vivado下使用ILA抓取波形_第18张图片

点击触发按钮

vivado下使用ILA抓取波形_第19张图片


vivado下使用ILA抓取波形_第20张图片

至此为vivado查看波形的全过程。



你可能感兴趣的:(FPGA)