MSP430F149程序——12864液晶

一、引脚说明

1.1 接口定义

12864引脚 引脚说明
RS(LCDCS) 片选
SID(LCDSIMO) 从输入主输出
SCLK(LCD CLK) 时钟
RST(LCD RES) 复位

1.2 硬件连接

12864引脚 430引脚
RS(LCDCS) P4.2
SID(LCDSIMO) P4.1
SCLK(LCD CLK) P4.3
RST(LCD RES) P4.5

1.3 总线协议

IO口软件模拟SPI

1.4 软件控制流程

①IO初始化。

②根据时序初始化LCD。

③显示。

二、移植文件

将 LCD.c 、 LCD.h文件加入到工程文件夹下

2.1 LCD.c

#define  mLCD_GLOBALS
#include 
#include  
#include  
#include  "LCD.h"


extern void WDTFeed( void );
typedef struct 
{
   unsigned char  ASC_Value;
   unsigned char ASC_Font[90];
}ASCII_EN;

//宋体16  纵向取模下高位,数据排列:从左到右从上到下  即左上角从上向下数8格  最下面一格为最高位BIT7 最上面一格为最低位BIT0 再从左到右数
const ASCII_EN ALL_EN_Font[91]={
{32,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*" ",32*/
{33,0x00,0x00,0x70,0xF8,0xF8,0x70,0x00,0x00,0x00,0x00,0x00,0x0D,0x0D,0x00,0x00,0x00},/*"!",33*/
{34,0x00,0x38,0x38,0x00,0x00,0x38,0x38,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*""",34*/
{35,0x00,0x20,0xF8,0xF8,0x20,0xF8,0xF8,0x20,0x00,0x02,0x0F,0x0F,0x02,0x0F,0x0F,0x02},/*"#",35*/
{36,0x00,0x30,0x78,0xCE,0x8E,0x18,0x10,0x00,0x00,0x04,0x0C,0x38,0x39,0x0F,0x06,0x00},/*"$",36*/
{37,0x18,0x3C,0x24,0xBC,0xD8,0x60,0x30,0x00,0x00,0x06,0x03,0x0D,0x1E,0x12,0x1E,0x0C},/*"%",37*/
{38,0x00,0xB0,0xF8,0x48,0x78,0x30,0x00,0x00,0x00,0x07,0x0F,0x08,0x09,0x07,0x0F,0x09},/*"&",38*/
{39,0x00,0x00,0x00,0x38,0x38,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"'",39*/
{40,0x00,0x00,0xC0,0xF0,0x38,0x08,0x00,0x00,0x00,0x00,0x07,0x1F,0x38,0x20,0x00,0x00},/*"(",40*/
{41,0x00,0x00,0x08,0x38,0xF0,0xC0,0x00,0x00,0x00,0x00,0x20,0x38,0x1F,0x07,0x00,0x00},/*")",41*/
{42,0x00,0x80,0xA0,0xE0,0xC0,0xE0,0xA0,0x80,0x00,0x00,0x02,0x03,0x01,0x03,0x02,0x00},/*"*",42*/
{43,0x00,0x80,0x80,0xE0,0xE0,0x80,0x80,0x00,0x00,0x00,0x00,0x03,0x03,0x00,0x00,0x00},/*"+",43*/
{44,0x00,0x00,0x00,0x38,0x38,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"'",44*/
{45,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"-",45*/
{46,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x0C,0x0C,0x0C,0x00,0x00},/*".",46*/
{47,0x00,0x00,0x00,0x80,0xE0,0x78,0x18,0x00,0x00,0x18,0x1E,0x07,0x01,0x00,0x00,0x00},/*"/",47*/

{48,0x00,0x00,0xF0,0xF8,0x08,0x68,0xF8,0xF0,0x00,0x00,0x07,0x0F,0x0B,0x08,0x0F,0x07},/*"0",48*/
{49,0x00,0x20,0x20,0x30,0xF8,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x0F,0x0F,0x00,0x00},/*"1",49*/
{50,0x00,0x30,0x38,0x08,0x88,0xF8,0x70,0x00,0x00,0x0C,0x0E,0x0B,0x09,0x08,0x08,0x00},/*"2",50*/
{51,0x00,0x30,0x38,0x88,0x88,0xF8,0x70,0x00,0x00,0x06,0x0E,0x08,0x08,0x0F,0x07,0x00},/*"3",51*/
{52,0x00,0x00,0xF8,0xF8,0x00,0xE0,0xE0,0x00,0x00,0x03,0x03,0x02,0x02,0x0F,0x0F,0x02},/*"4",52*/
{53,0x00,0xF8,0xF8,0x88,0x88,0x88,0x08,0x00,0x00,0x08,0x08,0x08,0x0C,0x07,0x03,0x00},/*"5",53*/
{54,0x00,0xC0,0xE0,0x78,0x58,0xC8,0x80,0x00,0x00,0x07,0x0F,0x08,0x08,0x0F,0x07,0x00},/*"6",54*/
{55,0x00,0x08,0x08,0x88,0xE8,0x78,0x18,0x00,0x00,0x00,0x0E,0x0F,0x01,0x00,0x00,0x00},/*"7",55*/
{56,0x00,0x70,0xF8,0xC8,0x88,0xF8,0x70,0x00,0x00,0x07,0x0F,0x08,0x09,0x0F,0x07,0x00},/*"8",56*/
{57,0x00,0xF0,0xF8,0x08,0x08,0xF8,0xF0,0x00,0x00,0x00,0x09,0x0D,0x0F,0x03,0x01,0x00},/*"9",57*/

{58,0x00,0x00,0x00,0x60,0x60,0x60,0x00,0x00,0x00,0x00,0x00,0x0C,0x0C,0x0C,0x00,0x00},/*":",58*/
{59,0x00,0x00,0x00,0x60,0x60,0x60,0x00,0x00,0x00,0x00,0x00,0x2C,0x3C,0x1C,0x00,0x00},/*";",59*/
{60,0x00,0x80,0xC0,0x60,0x30,0x18,0x08,0x00,0x00,0x00,0x01,0x03,0x06,0x0C,0x08,0x00},/*"<",60*/
{61,0x00,0x40,0x40,0x40,0x40,0x40,0x40,0x00,0x00,0x01,0x01,0x01,0x01,0x01,0x01,0x00},/*"=",61*/
{62,0x00,0x08,0x18,0x30,0x60,0xC0,0x80,0x00,0x00,0x08,0x0C,0x06,0x03,0x01,0x00,0x00},/*">",62*/
{63,0x00,0x30,0x38,0x88,0xC8,0x78,0x30,0x00,0x00,0x00,0x00,0x0D,0x0D,0x00,0x00,0x00},/*"?",63*/
{64,0xF0,0xF8,0x08,0x88,0xC8,0x48,0xF8,0xF0,0x07,0x0F,0x08,0x09,0x0B,0x0A,0x0B,0x0B},/*"@",64*/

{65,0x00,0xE0,0xF0,0x18,0x18,0xF0,0xE0,0x00,0x00,0x0F,0x0F,0x01,0x01,0x0F,0x0F,0x00},/*"A",65*/
{66,0x00,0xF8,0xF8,0x88,0x88,0xF8,0x70,0x00,0x00,0x0F,0x0F,0x08,0x08,0x0F,0x07,0x00},/*"B",66*/
{67,0x00,0xF0,0xF8,0x08,0x08,0x38,0x30,0x00,0x00,0x07,0x0F,0x08,0x08,0x0E,0x06,0x00},/*"C",67*/
{68,0x00,0xF8,0xF8,0x08,0x18,0xF0,0xE0,0x00,0x00,0x0F,0x0F,0x08,0x0C,0x07,0x03,0x00},/*"D",68*/
{69,0x00,0xF8,0xF8,0x88,0x88,0x88,0x08,0x00,0x00,0x0F,0x0F,0x08,0x08,0x08,0x08,0x00},/*"E",69*/
{70,0x00,0xF8,0xF8,0x88,0x88,0x88,0x08,0x00,0x00,0x0F,0x0F,0x00,0x00,0x00,0x00,0x00},/*"F",70*/
{71,0x00,0xF0,0xF8,0x08,0x08,0x38,0x30,0x00,0x00,0x07,0x0F,0x08,0x09,0x0F,0x0F,0x00},/*"G",71*/
{72,0x00,0xF8,0xF8,0x80,0x80,0xF8,0xF8,0x00,0x00,0x0F,0x0F,0x00,0x00,0x0F,0x0F,0x00},/*"H",72*/
{73,0x00,0x00,0x08,0xF8,0xF8,0x08,0x00,0x00,0x00,0x00,0x08,0x0F,0x0F,0x08,0x00,0x00},/*"I",73*/
{74,0x00,0x00,0x00,0x00,0x00,0xF8,0xF8,0x00,0x00,0x06,0x0E,0x08,0x08,0x0F,0x07,0x00},/*"J",74*/
{75,0x00,0xF8,0xF8,0x80,0xE0,0x78,0x18,0x00,0x00,0x0F,0x0F,0x00,0x03,0x0F,0x0C,0x00},/*"K",75*/
{76,0x00,0xF8,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x0F,0x0F,0x08,0x08,0x08,0x08,0x00},/*"L",76*/
{77,0x00,0xF8,0xF8,0x20,0xC0,0x20,0xF8,0xF8,0x00,0x0F,0x0F,0x00,0x01,0x00,0x0F,0x0F},/*"M",77*/
{78,0x00,0xF8,0xF8,0x60,0xC0,0x80,0xF8,0xF8,0x00,0x0F,0x0F,0x00,0x00,0x01,0x0F,0x0F},/*"N",78*/
{79,0x00,0xF0,0xF8,0x08,0x08,0xF8,0xF0,0x00,0x00,0x07,0x0F,0x08,0x08,0x0F,0x07,0x00},/*"O",79*/
{80,0x00,0xF8,0xF8,0x88,0x88,0xF8,0x70,0x00,0x00,0x0F,0x0F,0x00,0x00,0x00,0x00,0x00},/*"P",80*/
{81,0x00,0xF0,0xF8,0x08,0x08,0xF8,0xF0,0x00,0x00,0x07,0x0F,0x08,0x18,0x3F,0x27,0x00},/*"Q",81*/
{82,0x00,0xF8,0xF8,0x88,0x88,0xF8,0x70,0x00,0x00,0x0F,0x0F,0x00,0x01,0x0F,0x0E,0x00},/*"R",82*/
{83,0x00,0x30,0x78,0xC8,0x88,0x18,0x10,0x00,0x00,0x04,0x0C,0x08,0x09,0x0F,0x06,0x00},/*"S",83*/
{84,0x00,0x08,0x08,0xF8,0xF8,0x08,0x08,0x00,0x00,0x00,0x00,0x0F,0x0F,0x00,0x00,0x00},/*"T",84*/
{85,0x00,0xF8,0xF8,0x00,0x00,0xF8,0xF8,0x00,0x00,0x07,0x0F,0x08,0x08,0x0F,0x07,0x00},/*"U",85*/
{86,0x00,0xF8,0xF8,0x00,0x00,0xF8,0xF8,0x00,0x00,0x03,0x07,0x0C,0x0C,0x07,0x03,0x00},/*"V",86*/
{87,0x00,0xF8,0xF8,0x00,0xC0,0x00,0xF8,0xF8,0x00,0x01,0x0F,0x0E,0x01,0x0E,0x0F,0x01},/*"W",87*/
{88,0x00,0x18,0x38,0xE0,0xC0,0x38,0x18,0x00,0x00,0x0E,0x0F,0x00,0x01,0x0F,0x0E,0x00},/*"X",88*/
{89,0x00,0x78,0xF8,0x80,0x80,0xF8,0x78,0x00,0x00,0x00,0x00,0x0F,0x0F,0x00,0x00,0x00},/*"Y",89*/
{90,0x00,0x08,0x08,0x88,0xC8,0x78,0x38,0x00,0x00,0x0E,0x0F,0x09,0x08,0x08,0x08,0x00},/*"Z",90*/

{91,0x00,0x00,0xF8,0xF8,0x08,0x08,0x00,0x00,0x00,0x00,0x7F,0x7F,0x40,0x40,0x00,0x00},/*"[",91*/
{92,0x00,0x18,0x78,0xE0,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x07,0x1E,0x18,0x00},/*"\",92*/
{93,0x00,0x00,0x08,0x08,0xF8,0xF8,0x00,0x00,0x00,0x00,0x40,0x40,0x7F,0x7F,0x00,0x00},/*"]",93*/
{94,0x00,0x08,0x0C,0x06,0x06,0x0C,0x08,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"^",94*/
{95,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40},/*"_",95*/
{96,0x00,0x00,0x02,0x06,0x0E,0x08,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"`",96*/

{97,0x00,0x00,0x20,0x20,0x20,0xE0,0xC0,0x00,0x00,0x06,0x0F,0x09,0x09,0x0F,0x0F,0x00},/*"a",97*/
{98,0x00,0xF8,0xF8,0x20,0x20,0xE0,0xC0,0x00,0x00,0x0F,0x0F,0x08,0x08,0x0F,0x07,0x00},/*"b",98*/
{99,0x00,0xC0,0xE0,0x20,0x20,0x60,0x40,0x00,0x00,0x07,0x0F,0x08,0x08,0x0C,0x04,0x00},/*"c",99*/
{100,0x00,0xC0,0xE0,0x20,0x20,0xF8,0xF8,0x00,0x00,0x07,0x0F,0x08,0x08,0x0F,0x0F,0x00},/*"d",100*/
{101,0x00,0xC0,0xE0,0x20,0x20,0xE0,0xC0,0x00,0x00,0x07,0x0F,0x09,0x09,0x09,0x01,0x00},/*"e",101*/
{102,0x00,0x80,0xF0,0xF8,0x88,0x88,0x88,0x00,0x00,0x00,0x0F,0x0F,0x00,0x00,0x00,0x00},/*"f",102*/
{103,0x00,0xC0,0xE0,0x20,0x20,0xE0,0xE0,0x00,0x00,0x47,0x4F,0x48,0x48,0x7F,0x3F,0x00},/*"g",103*/
{104,0x00,0xF8,0xF8,0x20,0x20,0xE0,0xC0,0x00,0x00,0x0F,0x0F,0x00,0x00,0x0F,0x0F,0x00},/*"h",104*/
{105,0x00,0x20,0x20,0xEC,0xEC,0x00,0x00,0x00,0x00,0x08,0x08,0x0F,0x0F,0x08,0x08,0x00},/*"i",105*/
{106,0x00,0x00,0x20,0x20,0xEC,0xEC,0x00,0x00,0x00,0x40,0x40,0x40,0x7F,0x3F,0x00,0x00},/*"j",106*/
{107,0x00,0xF8,0xF8,0x00,0x80,0xE0,0x60,0x00,0x00,0x0F,0x0F,0x01,0x03,0x0E,0x0C,0x00},/*"k",107*/
{108,0x00,0x08,0x08,0xF8,0xF8,0x00,0x00,0x00,0x00,0x08,0x08,0x0F,0x0F,0x08,0x08,0x00},/*"l",108*/
{109,0x00,0xE0,0xE0,0x20,0xE0,0x20,0xE0,0xC0,0x00,0x0F,0x0F,0x00,0x07,0x00,0x0F,0x0F},/*"m",109*/
{110,0x00,0xE0,0xE0,0x20,0x20,0xE0,0xC0,0x00,0x00,0x0F,0x0F,0x00,0x00,0x0F,0x0F,0x00},/*"n",110*/
{111,0x00,0xC0,0xE0,0x20,0x20,0xE0,0xC0,0x00,0x00,0x07,0x0F,0x08,0x08,0x0F,0x07,0x00},/*"o",111*/
{112,0x00,0xE0,0xE0,0x20,0x20,0xE0,0xC0,0x00,0x00,0x7F,0x7F,0x08,0x08,0x0F,0x07,0x00},/*"p",112*/
{113,0x00,0xC0,0xE0,0x20,0x20,0xE0,0xE0,0x00,0x00,0x07,0x0F,0x08,0x08,0x7F,0x7F,0x00},/*"q",113*/
{114,0x00,0xE0,0xE0,0x80,0x40,0x60,0x60,0x00,0x00,0x0F,0x0F,0x00,0x00,0x00,0x00,0x00},/*"r",114*/
{115,0x00,0xC0,0xE0,0x20,0x20,0x20,0x20,0x00,0x00,0x08,0x09,0x09,0x09,0x0F,0x06,0x00},/*"s",115*/
{116,0x00,0x20,0xF8,0xF8,0x20,0x20,0x20,0x00,0x00,0x00,0x07,0x0F,0x08,0x08,0x08,0x00},/*"t",116*/
{117,0x00,0xE0,0xE0,0x00,0x00,0xE0,0xE0,0x00,0x00,0x07,0x0F,0x08,0x08,0x0F,0x0F,0x00},/*"u",117*/
{118,0x00,0xE0,0xE0,0x00,0x00,0xE0,0xE0,0x00,0x00,0x03,0x07,0x0C,0x0C,0x07,0x03,0x00},/*"v",118*/
{119,0x00,0xE0,0xE0,0x00,0xC0,0x00,0xE0,0xE0,0x00,0x03,0x0F,0x0C,0x03,0x0C,0x0F,0x03},/*"w",119*/
{120,0x00,0x60,0xE0,0x80,0x80,0xE0,0x60,0x00,0x00,0x0C,0x0E,0x03,0x03,0x0E,0x0C,0x00},/*"x",120*/
{121,0x00,0xE0,0xE0,0x00,0x00,0xE0,0xE0,0x00,0x40,0x47,0x4F,0x68,0x38,0x1F,0x07,0x00},/*"y",121*/
{122,0x60,0x60,0x20,0xA0,0xE0,0x60,0x20,0x00,0x0C,0x0E,0x0B,0x09,0x08,0x0C,0x0C,0x00},/*"z",122*/
};



typedef struct 
{
   INT16U ASC_Value;
   INT8U  ASC_Font[32];
}ASCII_CN;

const ASCII_CN ALL_CN_Font[77]={
{0xb6e0,0x00,0x00,0x10,0x10,0x98,0xa4,0x47,0x44,0xa4,0x54,0x0c,0x04,0x00,0x00,0x00,0x00,0x00,0x81,0x89,0x89,0x44,0x44,0x4a,0x31,0x21,0x11,0x09,0x05,0x03,0x00,0x00,0x00},/*"多",0xb6e0*/
{0xcec2,0x10,0x60,0x02,0x8c,0x00,0x00,0xfe,0x92,0x92,0x92,0x92,0x92,0xfe,0x00,0x00,0x00,0x04,0x04,0x7e,0x01,0x40,0x7e,0x42,0x42,0x7e,0x42,0x7e,0x42,0x42,0x7e,0x40,0x00},/*"温",0xcec2*/
//{0xB0D9,0x02,0x02,0x02,0xF2,0x12,0x12,0x1E,0x16,0x12,0x12,0x12,0xF2,0x02,0x02,0x02,0x00,0x00,0x00,0x00,0xFF,0x41,0x41,0x41,0x41,0x41,0x41,0x41,0xFF,0x00,0x00,0x00,0x00},/*"百",0xB0D9*/
//{0xB1A3,0x40,0x20,0xF8,0x17,0x82,0x80,0xBE,0xA2,0xA2,0xE2,0xA2,0xA2,0xBE,0x80,0x80,0x00,0x00,0x00,0x7F,0x00,0x20,0x10,0x08,0x06,0x01,0x7F,0x03,0x0C,0x18,0x30,0x10,0x00},/*"保",0xB1A3*/
{0xc2de,0x00,0x00,0x3e,0x22,0x22,0xbe,0x62,0x22,0x22,0x3e,0x22,0x22,0x3e,0x00,0x00,0x00,0x80,0x84,0x84,0x42,0x45,0x49,0x31,0x21,0x11,0x09,0x05,0x03,0x00,0x00,0x00,0x00},/*罗,0xc2de*/
//{0xB1A8,0x08,0x08,0x88,0xFF,0x48,0x28,0x00,0xFF,0xC1,0x41,0x41,0x49,0x51,0xCF,0x00,0x00,0x01,0x41,0x80,0x7F,0x00,0x00,0x00,0xFF,0x40,0x23,0x14,0x1C,0x33,0x60,0x20,0x00},/*"报",0xB1A8*/
//{0xB1B6,0x00,0x20,0x10,0xFC,0x03,0x84,0x8C,0xF4,0xA5,0x86,0xC4,0xBC,0x94,0x84,0x84,0x00,0x00,0x00,0x00,0x7F,0x00,0x00,0x7E,0x22,0x22,0x22,0x22,0x22,0x7E,0x00,0x00,0x00},/*"倍",0xB1B6*/
//{0xB1BE,0x08,0x08,0x08,0x08,0x08,0xC8,0x38,0xFF,0x18,0x68,0x88,0x08,0x08,0x08,0x08,0x00,0x08,0x08,0x04,0x02,0x05,0x04,0x04,0x7F,0x04,0x04,0x04,0x03,0x06,0x0C,0x04,0x00},/*"本",0xB1BE*/
//{0xB1C8,0x00,0x00,0xFF,0x20,0x20,0x20,0x20,0x00,0xFF,0x40,0x40,0x40,0x20,0x20,0x20,0x00,0x00,0x00,0x7F,0x20,0x10,0x08,0x00,0x00,0x3F,0x40,0x40,0x40,0x40,0x40,0x78,0x00},/*"比",0xB1C8*/
//{0xB1D5,0x00,0x00,0xF9,0x02,0x46,0x40,0x42,0x42,0xFA,0x42,0x42,0x42,0x02,0xFE,0x00,0x00,0x00,0x00,0xFF,0x00,0x04,0x04,0x12,0x21,0x1F,0x00,0x00,0x40,0x80,0x7F,0x00,0x00},/*"闭",0xB1D5*/
//{0xB1ED,0x00,0x40,0x44,0x54,0x54,0x54,0xD4,0xFF,0x54,0x54,0x54,0x54,0x54,0x44,0x40,0x00,0x08,0x08,0x04,0x04,0xFE,0x43,0x21,0x10,0x03,0x04,0x08,0x14,0x23,0x62,0x20,0x00},/*"表",0xB1ED*/
{0xB2BD,0x00,0x20,0x20,0x20,0xBC,0x20,0x20,0x20,0xFF,0x24,0x24,0x24,0x24,0x20,0x20,0x00,0x00,0x44,0x44,0x42,0x41,0x20,0x20,0x20,0x17,0x10,0x08,0x04,0x03,0x02,0x00,0x00},/*"步",0xB2BD*/
{0xB2C9,0x00,0x84,0x84,0x94,0xA4,0x84,0x84,0xD2,0xA2,0x82,0xA2,0x9A,0x82,0x80,0x80,0x00,0x20,0x20,0x10,0x10,0x08,0x04,0x02,0xFF,0x01,0x02,0x04,0x08,0x18,0x30,0x10,0x00},/*"采",0xB2C9*/
//{0xB2CE,0x20,0x20,0x28,0x2C,0xAA,0x6B,0x38,0xAC,0x24,0x64,0xA6,0x2C,0x20,0x20,0x00,0x00,0x02,0x42,0x45,0x55,0x52,0x4A,0x29,0x24,0x24,0x12,0x12,0x09,0x0B,0x06,0x02,0x00},/*"参",0xB2CE*/

//{0xB2D9,0x08,0x08,0xFF,0x88,0x48,0x00,0xF0,0x97,0xF5,0x05,0xF5,0x95,0x97,0xF0,0x00,0x00,0x42,0x81,0x7F,0x00,0x40,0x42,0x22,0x12,0x0A,0xFF,0x06,0x0A,0x32,0x62,0x22,0x00},/*"操",0xB2D9*/
//{0xB2E2,0x08,0x31,0x86,0x60,0x00,0xFE,0x02,0xF2,0x02,0xFE,0x00,0xF8,0x00,0x00,0xFF,0x00,0x04,0xFC,0x03,0x00,0x80,0x47,0x30,0x0F,0x10,0x67,0x00,0x07,0x40,0x80,0x7F,0x00},/*"测",0xB2E2*/
//{0xB2EE,0x80,0x88,0xA8,0xA8,0xA9,0xAE,0xEA,0xB8,0xA8,0xAC,0xAB,0xAA,0xA8,0x88,0x80,0x00,0x40,0x20,0x10,0x48,0x46,0x45,0x44,0x44,0x7C,0x44,0x44,0x44,0x44,0x40,0x40,0x00},/*"差",0xB2EE*/
//{0xB3A3,0x20,0x18,0x08,0x09,0xEE,0xAA,0xA8,0xAF,0xA8,0xA8,0xEC,0x0B,0x2A,0x18,0x08,0x00,0x00,0x00,0x3E,0x02,0x02,0x02,0x02,0xFF,0x02,0x02,0x12,0x22,0x1E,0x00,0x00,0x00},/*"常",0xB3A3*/
//{0xB3AC,0x40,0x48,0x48,0x48,0xFF,0x48,0x48,0x82,0xC2,0xBE,0x82,0xA2,0xC2,0xBE,0x00,0x00,0x60,0x1F,0x20,0x40,0x7F,0x42,0x42,0x40,0x5F,0x48,0x48,0x48,0x48,0x5F,0x40,0x00},/*"超",0xB3AC*/
//{0xB3C9,0x00,0x00,0xF8,0x48,0x48,0x48,0xC8,0x08,0xFF,0x08,0x09,0x0A,0xC8,0x88,0x08,0x00,0x40,0x30,0x0F,0x00,0x08,0x50,0x4F,0x20,0x10,0x0B,0x0C,0x12,0x21,0x40,0xF0,0x00},/*"成",0xB3C9*/
//{0xB3CB,0x08,0x28,0x2A,0x2A,0xFA,0x0A,0x0A,0xFE,0x0A,0x09,0xF9,0x49,0x29,0x2C,0x88,0x00,0x22,0x22,0x11,0x11,0x0B,0x0C,0x06,0x7F,0x02,0x04,0x0D,0x19,0x31,0x11,0x01,0x00},/*"乘",0xB3CB*/
//{0xB3CC,0x10,0x12,0xD2,0xFE,0x91,0x11,0x80,0xBF,0xA1,0xA1,0xA1,0xA1,0xBF,0x80,0x00,0x00,0x04,0x03,0x00,0xFF,0x00,0x41,0x44,0x44,0x44,0x7F,0x44,0x44,0x44,0x44,0x40,0x00},/*"程",0xB3CC*/
{0xB3D9,0x40,0x41,0xCE,0x04,0x00,0x00,0xFE,0x22,0x22,0xA2,0x22,0x22,0x7E,0x00,0x00,0x00,0x40,0x20,0x1F,0x20,0x28,0x46,0x41,0x40,0x40,0x40,0x41,0x42,0x4C,0x44,0x40,0x00},/*"迟",0xB3D9*/
//{0xB3E5,0x00,0x02,0x1C,0xC8,0x00,0xF8,0x08,0x08,0x08,0xFF,0x08,0x08,0x08,0xF8,0x00,0x00,0x04,0x04,0xFE,0x01,0x00,0x03,0x01,0x01,0x01,0xFF,0x01,0x01,0x01,0x03,0x00,0x00},/*"冲",0xB3E5*/
//{0xB3F6,0x00,0x00,0xFC,0x40,0x40,0x40,0x40,0xFF,0x40,0x40,0x40,0x40,0x40,0xFC,0x00,0x00,0x00,0x00,0x7E,0x20,0x20,0x20,0x20,0x3F,0x20,0x20,0x20,0x20,0x20,0x7E,0x00,0x00},/*"出",0xB3F6*/
//{0xB3FD,0xFE,0x02,0x32,0xCA,0x46,0x20,0x30,0x2C,0xE3,0x24,0x28,0x10,0x20,0x20,0x00,0x00,0xFF,0x02,0x04,0x43,0x20,0x11,0x4D,0x81,0x7F,0x01,0x05,0x09,0x11,0x30,0x00,0x00},/*"除",0xB3FD*/
//{0xB4AB,0x40,0x20,0xF8,0x07,0x42,0x44,0x44,0x44,0xF4,0x4F,0x44,0x44,0x46,0x64,0x40,0x00,0x00,0x00,0x7F,0x00,0x00,0x00,0x02,0x0B,0x12,0x22,0x52,0x0A,0x07,0x02,0x00,0x00},/*"传",0xB4AB*/
//{0xB4C5,0x82,0xE2,0x5E,0x42,0xC2,0x0A,0x08,0xC9,0x3E,0x0A,0x08,0x8C,0x7A,0x09,0x08,0x00,0x00,0x7F,0x10,0x10,0x1F,0x32,0x2B,0x26,0x2A,0x71,0x32,0x2B,0x26,0x2A,0x71,0x00},/*"磁",0xB4C5*/
//{0xB4CE,0x00,0x02,0x1C,0xC0,0x30,0x4C,0x30,0x0F,0x08,0xF8,0x08,0x08,0x28,0x18,0x08,0x00,0x02,0x5E,0x43,0x20,0x20,0x10,0x08,0x04,0x03,0x01,0x06,0x08,0x30,0x60,0x20,0x00},/*"次",0xB4CE*/
{0xB4ED,0x40,0x30,0x2C,0xE7,0x24,0x24,0x40,0x48,0x7F,0x48,0x48,0x7F,0x48,0x48,0x40,0x00,0x01,0x01,0x01,0xFF,0x41,0x21,0x00,0xFF,0x49,0x49,0x49,0x49,0x49,0xFF,0x00,0x00},/*"错",0xB4ED*/
//{0xB5A5,0x00,0x00,0xF8,0x28,0x29,0x2E,0x2A,0xF8,0x28,0x2C,0x2B,0x2A,0xF8,0x00,0x00,0x00,0x08,0x08,0x0B,0x09,0x09,0x09,0x09,0xFF,0x09,0x09,0x09,0x09,0x0B,0x08,0x08,0x00},/*"单",0xB5A5*/
//{0xB5C7,0x00,0x00,0x8A,0x52,0x62,0x5A,0x46,0x40,0x47,0x58,0x64,0x52,0xC8,0x84,0x80,0x00,0x02,0x81,0x80,0x80,0xAF,0xC9,0x89,0x89,0x89,0xC9,0xAF,0x80,0x80,0x81,0x00,0x00},/*"登",0xB5C7*/
//{0xB5D8,0x10,0x10,0x10,0xFE,0x10,0x50,0x40,0xFE,0x20,0x20,0xFF,0x10,0x10,0xF8,0x10,0x00,0x20,0x20,0x10,0x1F,0x08,0x08,0x00,0x3F,0x40,0x40,0x4F,0x42,0x44,0x43,0x70,0x00},/*"地",0xB5D8*/
{0xB5E3,0x00,0x00,0x00,0xE0,0x20,0x20,0x20,0x3F,0x24,0x24,0x24,0xF4,0x24,0x00,0x00,0x00,0x00,0x40,0x30,0x07,0x12,0x62,0x02,0x0A,0x12,0x62,0x02,0x0F,0x10,0x60,0x00,0x00},/*"点",0xB5E3*/
{0xB5E7,0x00,0x00,0xF8,0x48,0x48,0x48,0x48,0xFF,0x48,0x48,0x48,0x48,0xF8,0x00,0x00,0x00,0x00,0x00,0x0F,0x04,0x04,0x04,0x04,0x3F,0x44,0x44,0x44,0x44,0x4F,0x40,0x70,0x00},/*"电",0xB5E7*/
//{0xB5F4,0x08,0x08,0x08,0xFF,0x88,0x48,0xF0,0x50,0x50,0x5F,0x54,0x54,0xF4,0x04,0x00,0x00,0x02,0x42,0x81,0x7F,0x00,0x08,0x09,0x09,0x09,0xFF,0x09,0x09,0x09,0x08,0x08,0x00},/*"掉",0xB5F4*/
{0xB5F7,0x20,0x21,0xEE,0x04,0x00,0x00,0xFF,0x29,0xA9,0xBF,0xA9,0xA9,0x01,0xFF,0x00,0x00,0x00,0x00,0x1F,0x88,0x44,0x30,0x0F,0x00,0x0F,0x04,0x04,0x4F,0x80,0x7F,0x00,0x00},/*"调",0xB5F7*/
//{0xB6A8,0x00,0x10,0x0C,0xA4,0x24,0x24,0x25,0xE6,0x24,0x24,0x24,0x24,0x14,0x0C,0x04,0x00,0x40,0x20,0x18,0x07,0x08,0x10,0x20,0x7F,0x42,0x42,0x42,0x42,0x40,0x40,0x00,0x00},/*"定",0xB6A8*/
{0xB6AF,0x20,0x24,0x24,0xE4,0x24,0x24,0x24,0x20,0x10,0x10,0xFF,0x10,0x10,0xF0,0x00,0x00,0x08,0x1C,0x0B,0x08,0x0C,0x05,0x4E,0x24,0x10,0x0C,0x03,0x20,0x40,0x3F,0x00,0x00},/*"动",0xB6AF*/
//{0xB6C1,0x40,0x42,0xCC,0x04,0x00,0x50,0x94,0x34,0xD4,0x1F,0xD4,0x14,0x54,0x34,0x10,0x00,0x00,0x00,0x7F,0x20,0x10,0x82,0x43,0x22,0x12,0x0A,0x07,0x0A,0x12,0xE2,0x42,0x00},/*"读",0xB6C1*/
{0xB6C8,0x00,0x00,0xFC,0x04,0x24,0x24,0xFC,0xA5,0xA6,0xA4,0xFC,0x24,0x24,0x24,0x04,0x00,0x80,0x60,0x1F,0x80,0x80,0x42,0x46,0x2A,0x12,0x12,0x2A,0x26,0x42,0xC0,0x40,0x00},/*"度",0xB6C8*/
//{0xB6CB,0x50,0x91,0x16,0x10,0xF0,0x10,0x40,0x5E,0x50,0x50,0xDF,0x50,0x50,0x5E,0x40,0x00,0x10,0x13,0x10,0x0F,0x08,0x08,0xFF,0x01,0x01,0x3F,0x01,0x3F,0x41,0x81,0x7F,0x00},/*"端",0xB6CB*/
//{0xB6CC,0x60,0x50,0x4F,0xF8,0x48,0x48,0x4A,0x02,0xF2,0x12,0x12,0x12,0xF2,0x02,0x02,0x00,0x40,0x20,0x18,0x07,0x04,0x18,0x40,0x44,0x59,0x61,0x41,0x61,0x5B,0x44,0x40,0x00},/*"短",0xB6CC*/
//{0xB7A2,0x00,0x10,0x3E,0x10,0x10,0xF0,0x9F,0x90,0x90,0x92,0x94,0x1C,0x10,0x10,0x10,0x00,0x40,0x20,0x10,0x88,0x87,0x41,0x46,0x28,0x10,0x28,0x27,0x40,0xC0,0x40,0x00,0x00},/*"发",0xB7A2*/
{0xB7A7,0x00,0x00,0xF8,0x81,0x46,0xE0,0x58,0x42,0xFA,0x2A,0x32,0xA2,0x02,0xFE,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x3F,0x04,0x04,0x03,0x0E,0x11,0x3C,0x80,0xFF,0x00,0x00},/*"阀",0xB7A7*/
//{0xB7B4,0x00,0x00,0xFE,0x12,0x72,0x92,0x12,0x12,0x12,0x11,0x91,0x71,0x01,0x00,0x00,0x00,0x40,0x30,0x4F,0x40,0x20,0x21,0x12,0x0C,0x0C,0x12,0x11,0x20,0x60,0x20,0x00,0x00},/*"反",0xB7B4*/
{0xB7B6,0x44,0x94,0xA4,0x64,0x04,0x0F,0x04,0xE4,0x24,0x2C,0x2F,0x24,0xE4,0x04,0x04,0x00,0x08,0x09,0xF8,0x04,0x03,0x00,0x00,0x3F,0x40,0x40,0x42,0x46,0x43,0x70,0x00,0x00},/*"范",0xB7B6*/
{0xB7BD,0x08,0x08,0x08,0x08,0x08,0x08,0xF9,0x4A,0x4C,0x48,0x48,0xC8,0x08,0x08,0x08,0x00,0x40,0x40,0x20,0x10,0x0C,0x03,0x00,0x00,0x20,0x40,0x40,0x3F,0x00,0x00,0x00,0x00},/*"方",0xB7BD*/
//{0xB7D6,0x80,0x40,0x20,0x98,0x87,0x82,0x80,0x80,0x83,0x84,0x98,0x30,0x60,0xC0,0x40,0x00,0x00,0x80,0x40,0x20,0x10,0x0F,0x00,0x00,0x20,0x40,0x3F,0x00,0x00,0x00,0x00,0x00},/*"分",0xB7D6*/
//{0xB7E5,0xF8,0x00,0xFF,0x00,0xF8,0x20,0x90,0x88,0x4F,0xD2,0x22,0x52,0xCE,0x42,0x00,0x00,0x1F,0x08,0x0F,0x04,0x0F,0x20,0x2A,0x2A,0x2A,0xFF,0x2A,0x2A,0x2A,0x2A,0x20,0x00},/*"峰",0xB7E5*/
{0xB7F9,0xF8,0x08,0xFF,0x08,0xF8,0x00,0x02,0x7A,0x4A,0x4A,0x4A,0x4A,0x4A,0x7A,0x02,0x00,0x0F,0x00,0xFF,0x08,0x0F,0x00,0x7F,0x25,0x25,0x25,0x3F,0x25,0x25,0x25,0x7F,0x00},/*"幅",0xB7F9*/
//{0xB8D0,0x00,0x00,0xFC,0x14,0xD4,0x54,0x54,0xD4,0x04,0x3F,0xC4,0x45,0x36,0x04,0x00,0x00,0x04,0x43,0x70,0x00,0x39,0x41,0x41,0x49,0x50,0x42,0x41,0x61,0x0A,0x34,0x27,0x00},/*"感",0xB8D0*/
//{0xB8F4,0x00,0xFE,0x22,0x5A,0x86,0x80,0x82,0xBA,0xAA,0xAA,0xAA,0xAA,0xBA,0x82,0x80,0x00,0x00,0xFF,0x02,0x04,0x03,0xFF,0x00,0x05,0x06,0x7C,0x06,0x05,0x44,0x80,0x7F,0x00},/*"隔",0xB8F4*/
{0xB8F8,0x20,0x30,0xAC,0x63,0x10,0x40,0x20,0x50,0x4C,0x43,0x44,0x48,0x30,0x60,0x20,0x00,0x22,0x23,0x22,0x12,0x12,0x00,0x00,0x7E,0x42,0x42,0x42,0x42,0x7E,0x00,0x00,0x00},/*"给",0xB8F8*/
//{0xB9A4,0x00,0x00,0x02,0x02,0x02,0x02,0x02,0xFE,0x02,0x02,0x02,0x02,0x02,0x02,0x00,0x00,0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x3F,0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x00},/*"工",0xB9A4*/
//{0xB9A6,0x00,0x04,0x04,0x04,0xFC,0x04,0x14,0x14,0x10,0x90,0x7F,0x10,0x10,0xF0,0x00,0x00,0x04,0x0C,0x04,0x04,0x03,0x42,0x22,0x11,0x0C,0x23,0x20,0x60,0x20,0x1F,0x00,0x00},/*"功",0xB9A6*/
{0xB9D8,0x00,0x10,0x10,0x10,0x11,0x1E,0x14,0xF0,0x10,0x18,0x17,0x12,0x18,0x10,0x00,0x00,0x01,0x81,0x41,0x21,0x11,0x09,0x05,0x03,0x05,0x09,0x31,0x61,0xC1,0x41,0x01,0x00},/*"关",0xB9D8*/
//{0xB9DC,0x08,0x64,0x23,0xA6,0xAA,0xA2,0xAA,0xB4,0xA3,0xA2,0xA6,0x2A,0x22,0x62,0x20,0x00,0x00,0x00,0x00,0xFF,0x4A,0x4A,0x4A,0x4A,0x4A,0x4A,0x4B,0xF8,0x00,0x00,0x00,0x00},/*"管",0xB9DC*/
//{0xBAC5,0x40,0x40,0x40,0x5F,0xD1,0x51,0x51,0x51,0x51,0x51,0x51,0x5F,0x40,0x40,0x40,0x00,0x00,0x00,0x00,0x02,0x07,0x02,0x02,0x22,0x42,0x82,0x42,0x3E,0x00,0x00,0x00,0x00},/*"号",0xBAC5*/
//{0xBBA7,0x00,0x00,0x00,0xF8,0x88,0x88,0x88,0x89,0x8A,0x8E,0x88,0x88,0x88,0xF8,0x00,0x00,0x80,0x40,0x30,0x0F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"户",0xBBA7*/
//{0xBBBB,0x08,0x08,0x08,0xFF,0x88,0x28,0xF0,0x2C,0x27,0xE4,0x34,0x2C,0xE4,0x00,0x00,0x00,0x02,0x42,0x81,0x7F,0x02,0x42,0x43,0x22,0x1A,0x07,0x0A,0x12,0x23,0x62,0x22,0x00},/*"换",0xBBBB*/
//{0xBBD8,0x00,0xFE,0x02,0x02,0xF2,0x12,0x12,0x12,0x12,0x12,0xF2,0x02,0x02,0xFE,0x00,0x00,0x00,0x7F,0x40,0x40,0x47,0x44,0x44,0x44,0x44,0x44,0x47,0x40,0x40,0x7F,0x00,0x00},/*"回",0xBBD8*/
{0xBBFA,0x08,0x08,0xC8,0xFF,0x48,0x88,0x08,0x00,0xFE,0x02,0x02,0x02,0xFE,0x00,0x00,0x00,0x04,0x03,0x00,0xFF,0x00,0x41,0x30,0x0C,0x03,0x00,0x00,0x00,0x3F,0x40,0x78,0x00},/*"机",0xBBFA*/
{0xBBFD,0x10,0x12,0xD2,0xFE,0x51,0x91,0x00,0xFE,0x02,0x02,0x02,0x02,0x02,0xFE,0x00,0x00,0x04,0x03,0x00,0xFF,0x00,0x81,0x40,0x31,0x0D,0x01,0x01,0x05,0x09,0x31,0xE0,0x00},/*"积",0xBBFD*/
{0xBCAF,0x00,0x10,0x08,0xFC,0x57,0x54,0x54,0x54,0xFD,0x56,0x54,0x54,0x54,0x00,0x00,0x00,0x00,0x44,0x44,0x25,0x25,0x15,0x0D,0xFF,0x05,0x0D,0x15,0x25,0x65,0x25,0x04,0x00},/*"集",0xBCAF*/
//{0xBCC6,0x20,0x21,0x2E,0xE4,0x00,0x00,0x20,0x20,0x20,0x20,0xFF,0x20,0x20,0x20,0x20,0x00,0x00,0x00,0x00,0x7F,0x20,0x10,0x08,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00},/*"计",0xBCC6*/
//{0xBCE2,0x40,0x20,0x10,0x0E,0x04,0x20,0x40,0xBF,0x00,0x02,0x04,0x08,0x18,0x30,0x00,0x00,0x02,0x82,0x82,0x42,0x22,0x12,0x0E,0x03,0x06,0x0A,0x12,0x22,0x62,0xC2,0x42,0x00},/*"尖",0xBCE2*/
{0xBCE4,0x00,0xF8,0x01,0x06,0x00,0xF0,0x92,0x92,0x92,0x92,0xF2,0x02,0x02,0xFE,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x07,0x04,0x04,0x04,0x04,0x07,0x40,0x80,0x7F,0x00,0x00},/*"间",0xBCE4*/
{0xbda1,0x80,0x60,0xf8,0x07,0x08,0xc8,0x38,0x10,0x54,0x54,0xff,0x54,0x54,0x7c,0x10,0x00,0x00,0x00,0xff,0x80,0x49,0x31,0x2f,0x48,0x49,0x49,0x7f,0x49,0x49,0x49,0x48,0x00},/*"健",0xbda1*/
//{0xBDD3,0x08,0x08,0x08,0xFF,0x88,0x68,0x24,0x2C,0xB4,0x25,0x26,0x34,0x2C,0x24,0x20,0x00,0x02,0x42,0x81,0x7F,0x02,0x82,0x8A,0x4E,0x53,0x32,0x12,0x2E,0x42,0xC2,0x02,0x00},/*"接",0xBDD3*/
{0xBDDA,0x04,0x04,0x44,0x44,0x44,0x5F,0xC4,0x44,0x44,0x44,0x5F,0x44,0xC4,0x04,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x08,0x10,0x0F,0x00,0x00,0x00},/*"节",0xBDDA*/
//{0xBDF8,0x80,0x82,0x9C,0x88,0x00,0x88,0x88,0xFF,0x88,0x88,0x88,0xFF,0x88,0x88,0x80,0x00,0x00,0x40,0x20,0x1F,0x20,0x50,0x4C,0x43,0x40,0x40,0x40,0x5F,0x40,0x40,0x40,0x00},/*"进",0xBDF8*/
{0xBDFB,0x40,0x24,0x94,0x8C,0xFF,0x8C,0x94,0xA0,0xA4,0x94,0x8C,0xFF,0x94,0x24,0x44,0x00,0x04,0x44,0x24,0x14,0x0C,0x44,0x84,0x7C,0x04,0x04,0x0C,0x14,0x64,0x04,0x04,0x00},/*"禁",0xBDFB*/
{0xBEAB,0x24,0x38,0xE0,0xFF,0xB0,0x2C,0x00,0x22,0xAA,0xAA,0xBF,0xAA,0xAA,0xAA,0x22,0x00,0x08,0x07,0x00,0xFF,0x00,0x01,0x02,0x00,0xFF,0x0A,0x0A,0x4A,0x8A,0x7F,0x00,0x00},/*"精",0xBEAB*/
{0xBEAF,0x20,0x1A,0xFA,0xAF,0xAA,0xEF,0x0A,0xFA,0x10,0x8F,0x54,0x24,0x5C,0x84,0x04,0x00,0x02,0x02,0x02,0xEA,0xAA,0xAA,0xAB,0xAA,0xAB,0xAA,0xAA,0xEA,0x02,0x02,0x03,0x00},/*"警",0xBEAF*/
//{0xBEB6,0x10,0x88,0xC4,0x73,0xA2,0x80,0x42,0x42,0x22,0x12,0x2A,0x26,0x42,0xC0,0x80,0x00,0x01,0x00,0xFF,0x00,0x40,0x41,0x41,0x41,0x41,0x7F,0x41,0x41,0x41,0x41,0x40,0x00},/*"径",0xBEB6*/
//{0xBEDD,0x08,0x08,0x08,0xFF,0x88,0x40,0xFE,0x92,0x92,0x92,0xF2,0x92,0x92,0x9E,0x80,0x00,0x02,0x42,0x81,0x7F,0x40,0x30,0x0F,0xFC,0x44,0x44,0x47,0x44,0x44,0xFC,0x00,0x00},/*"据",0xBEDD*/
{0xBFAA,0x40,0x42,0x42,0x42,0x42,0xFE,0x42,0x42,0x42,0x42,0xFE,0x42,0x42,0x42,0x42,0x00,0x00,0x40,0x20,0x10,0x0C,0x03,0x00,0x00,0x00,0x00,0x7F,0x00,0x00,0x00,0x00,0x00},/*"开",0xBFAA*/
//{0xBFD5,0x10,0x0C,0x84,0x44,0x24,0x14,0x05,0x06,0x04,0x14,0x24,0x44,0x84,0x14,0x0C,0x00,0x00,0x40,0x40,0x41,0x41,0x41,0x41,0x7F,0x41,0x41,0x41,0x41,0x40,0x40,0x00,0x00},/*"空",0xBFD5*/
{0xBFD8,0x08,0x08,0x08,0xFF,0x88,0x48,0x00,0x98,0x48,0x28,0x0A,0x2C,0x48,0xD8,0x08,0x00,0x02,0x42,0x81,0x7F,0x00,0x00,0x40,0x42,0x42,0x42,0x7E,0x42,0x42,0x42,0x40,0x00},/*"控",0xBFD8*/
//{0xBFDA,0x00,0x00,0xFC,0x04,0x04,0x04,0x04,0x04,0x04,0x04,0x04,0x04,0xFC,0x00,0x00,0x00,0x00,0x00,0x3F,0x08,0x08,0x08,0x08,0x08,0x08,0x08,0x08,0x08,0x3F,0x00,0x00,0x00},/*"口",0xBFDA*/
//{0xBFE9,0x10,0x10,0xFF,0x10,0x10,0x00,0x08,0x08,0xFF,0x08,0x08,0x08,0xF8,0x00,0x00,0x00,0x08,0x18,0x0F,0x04,0x85,0x41,0x31,0x0D,0x03,0x05,0x09,0x11,0x31,0x61,0x21,0x00},/*"块",0xBFE9*/
{0xBFEC,0x70,0x00,0xFF,0x08,0xB0,0x88,0x88,0x88,0xFF,0x88,0x88,0x88,0xF8,0x80,0x80,0x00,0x00,0x00,0xFF,0x00,0x80,0x40,0x30,0x0E,0x01,0x06,0x08,0x30,0x60,0xC0,0x40,0x00},/*"快",0xBFEC*/
//{0xC0E0,0x80,0x88,0x49,0x4A,0x2C,0x28,0x18,0xFF,0x18,0x28,0x2C,0x4A,0x49,0x88,0x08,0x00,0x82,0x82,0x42,0x42,0x22,0x12,0x0A,0x07,0x0A,0x12,0x22,0x22,0x42,0xC2,0x42,0x00},/*"类",0xC0E0*/
//{0xC1AC,0x40,0x41,0x4E,0xC4,0x00,0x44,0xE4,0x5C,0x47,0xF4,0x44,0x44,0x44,0x04,0x00,0x00,0x00,0x40,0x20,0x1F,0x22,0x42,0x42,0x42,0x42,0x5F,0x42,0x42,0x42,0x42,0x42,0x00},/*"连",0xC1AC*/
//{0xc0db,0x00,0x00,0x3E,0x2A,0x2A,0xAA,0x6A,0x3E,0x2A,0x2A,0xAA,0x2A,0x3E,0x00,0x00,0x00,0x00,0x80,0x48,0x29,0x09,0x4D,0x8D,0x7B,0x0B,0x09,0x28,0x4C,0x98,0x00,0x00,0x00},/*"累",0xc0db*/
{0xc1ba,0x48,0x50,0xe2,0x14,0x00,0x20,0x9a,0x42,0x3e,0x82,0x02,0xfe,0x00,0x08,0x30,0x00,0x44,0x44,0x25,0x24,0x14,0x0d,0x04,0xff,0x04,0x0c,0x15,0x24,0x24,0x44,0x44,0x00},/*"梁",0xc1ba*/
{0xC1BF,0x40,0x40,0x40,0xDF,0x55,0x55,0x55,0xD5,0x55,0x55,0x55,0xDF,0x40,0x40,0x40,0x00,0x40,0x40,0x40,0x57,0x55,0x55,0x55,0x7F,0x55,0x55,0x55,0x57,0x50,0x40,0x40,0x00},/*"量",0xC1BF*/
//{0xC1E3,0x00,0x0C,0x04,0x55,0x55,0x55,0x85,0x7F,0x85,0x55,0x55,0x55,0x05,0x0C,0x04,0x00,0x04,0x04,0x04,0x0A,0x0A,0x09,0x2A,0xCC,0x28,0x19,0x09,0x02,0x06,0x02,0x02,0x00},/*"零",0xC1E3*/
{0xC1F7,0x10,0x60,0x01,0x86,0x60,0x04,0x44,0x64,0x55,0x4E,0x44,0x64,0xC4,0x04,0x04,0x00,0x04,0x04,0xFC,0x03,0x40,0x30,0x0F,0x00,0x00,0x7F,0x00,0x3F,0x40,0x40,0x70,0x00},/*"流",0xC1F7*/
//{0xC2BC,0x20,0x20,0x22,0xAA,0x2A,0x2A,0x2A,0xEA,0x2A,0x2A,0xAA,0xFE,0x20,0x20,0x20,0x00,0x00,0x10,0x30,0x10,0x09,0x4A,0x84,0x7F,0x02,0x05,0x08,0x18,0x30,0x10,0x00,0x00},/*"录",0xC2BC*/
//{0xC2CA,0x00,0x0C,0x14,0xA4,0x04,0x64,0x35,0xAE,0x64,0x24,0x14,0xA4,0x14,0x04,0x04,0x00,0x08,0x0A,0x09,0x08,0x08,0x0A,0x0B,0xFE,0x0A,0x0B,0x0C,0x08,0x09,0x0A,0x08,0x00},/*"率",0xC2CA*/
{0xC2EB,0x02,0x82,0xE2,0x5E,0x42,0xC2,0x00,0x02,0xFA,0x82,0x82,0x82,0xFE,0x80,0x00,0x00,0x01,0x00,0x7F,0x10,0x10,0x3F,0x00,0x04,0x04,0x04,0x44,0x84,0x40,0x3F,0x00,0x00},/*"码",0xC2EB*/
//{0xC2F6,0x00,0xFE,0x12,0x12,0xFE,0x00,0x48,0xC8,0x09,0xFE,0x82,0x40,0x38,0x10,0x00,0x00,0x60,0x1F,0x41,0x81,0x7F,0x10,0x08,0x47,0x80,0x7F,0x00,0x01,0x06,0x1C,0x08,0x00},/*"脉",0xC2F6*/
{0xC2FD,0x70,0x00,0xFF,0x08,0x30,0xC0,0x40,0x5F,0xD5,0x55,0x55,0xD5,0x5F,0x40,0xC0,0x00,0x00,0x00,0xFF,0x00,0x40,0x41,0x41,0x25,0x2D,0x15,0x35,0x2D,0x45,0x41,0x41,0x00},/*"慢",0xC2FD*/
{0xC3C5,0x00,0x00,0xF8,0x01,0x06,0x00,0x02,0x02,0x02,0x02,0x02,0x02,0x02,0xFE,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x40,0x80,0x7F,0x00,0x00},/*"门",0xC3C5*/
{0xC3DC,0x00,0x58,0x24,0x04,0xF4,0x04,0x8D,0xB6,0x44,0x34,0xCC,0x94,0x24,0xCC,0x04,0x00,0x02,0x06,0x02,0x79,0x41,0x41,0x41,0x7D,0x41,0x41,0x41,0x40,0x78,0x00,0x00,0x00},/*"密",0xC3DC*/
//{0xC3FB,0x00,0x40,0x20,0x10,0x08,0x27,0x44,0x84,0x44,0x24,0x14,0x0C,0x04,0x00,0x00,0x00,0x04,0x04,0x04,0x02,0x7E,0x23,0x23,0x22,0x22,0x22,0x22,0x22,0x7E,0x00,0x00,0x00},/*"名",0xC3FB*/
//{0xC4A3,0x10,0xD0,0xFF,0x50,0x90,0x04,0xF4,0x54,0x5F,0x54,0x54,0x5F,0xF4,0x04,0x00,0x00,0x03,0x00,0xFF,0x00,0x00,0x84,0x85,0x45,0x35,0x0F,0x15,0x25,0x65,0xC4,0x44,0x00},/*"模",0xC4A3*/
//{0xC4BF,0x00,0x00,0x00,0xFE,0x22,0x22,0x22,0x22,0x22,0x22,0x22,0x22,0xFE,0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0x22,0x22,0x22,0x22,0x22,0x22,0x22,0x22,0x7F,0x00,0x00,0x00},/*"目",0xC4BF*/
//{0xC4DC,0x10,0xB8,0x97,0x92,0x90,0x94,0xB8,0x10,0x00,0x7F,0x48,0x48,0x44,0x74,0x20,0x00,0x00,0xFF,0x0A,0x0A,0x4A,0x8A,0x7F,0x00,0x00,0x3F,0x44,0x44,0x42,0x72,0x20,0x00},/*"能",0xC4DC*/
//{0xC4E1,0x00,0x00,0xFE,0x12,0x12,0xD2,0x12,0x12,0x12,0x12,0x92,0x12,0x3E,0x00,0x00,0x00,0x40,0x30,0x0F,0x00,0x00,0x3F,0x42,0x42,0x43,0x41,0x41,0x41,0x40,0x70,0x00,0x00},/*"尼",0xC4E1*/
//{0xC4E2,0x10,0x10,0x90,0xFF,0x50,0x10,0xFC,0x00,0x02,0x04,0x0C,0x00,0x00,0xFE,0x00,0x00,0x01,0x21,0x40,0x3F,0x00,0x00,0x5F,0x48,0x24,0x22,0x10,0x10,0x0C,0x13,0x60,0x00},/*"拟",0xC4E2*/
//{0xC6B5,0x20,0x3C,0x20,0x20,0xBF,0x24,0x24,0x00,0xF2,0x1A,0xD6,0x12,0x12,0xF2,0x02,0x00,0x44,0x43,0x20,0x10,0x0B,0x04,0x43,0x40,0x27,0x18,0x07,0x08,0x10,0x27,0x60,0x00},/*"频",0xC6B5*/
//{0xC6F0,0x40,0x48,0x48,0x48,0xFF,0x48,0x48,0x00,0xC4,0x44,0x44,0x44,0x7C,0x00,0x00,0x00,0x60,0x1F,0x20,0x40,0x7F,0x42,0x42,0x40,0x47,0x48,0x48,0x48,0x48,0x4E,0x40,0x00},/*"起",0xC6F0*/
//{0xC6F4,0x00,0x00,0x00,0xF8,0x48,0x48,0x48,0x49,0x4E,0x4A,0x48,0x48,0x48,0x78,0x00,0x00,0x40,0x20,0x18,0x07,0x00,0x7E,0x22,0x22,0x22,0x22,0x22,0x22,0x22,0x7E,0x00,0x00},/*"启",0xC6F4*/
//{0xC6F7,0x40,0x40,0x4F,0x49,0x49,0xC9,0xCF,0x70,0xC0,0xCF,0x49,0x59,0x69,0x4F,0x00,0x00,0x02,0x02,0x7E,0x45,0x45,0x44,0x7C,0x00,0x7C,0x44,0x45,0x45,0x7E,0x06,0x02,0x00},/*"器",0xC6F7*/
//{0xC7D0,0x40,0x40,0x40,0xFF,0x20,0x20,0x24,0x04,0x04,0xFC,0x04,0x04,0x04,0xFC,0x00,0x00,0x00,0x00,0x00,0x1F,0x08,0x44,0x22,0x18,0x06,0x01,0x00,0x20,0x40,0x3F,0x00,0x00},/*"切",0xC7D0*/
//{0xC7E5,0x10,0x61,0x06,0xE0,0x18,0x20,0xAA,0xAA,0xAA,0xBF,0xAA,0xAA,0xAA,0x2A,0x00,0x00,0x04,0x04,0xFF,0x00,0x00,0x00,0xFF,0x0A,0x0A,0x0A,0x4A,0x8A,0x7F,0x00,0x00,0x00},/*"清",0xC7E5*/
//{0xC8A1,0x02,0x02,0xFE,0x92,0x92,0x92,0xFE,0x02,0x02,0x7C,0x84,0x04,0x84,0x7C,0x04,0x00,0x10,0x10,0x0F,0x08,0x08,0x04,0xFF,0x04,0x22,0x10,0x09,0x06,0x09,0x30,0x10,0x00},/*"取",0xC8A1*/
//{0xC8B7,0x00,0x84,0xE4,0x5C,0x44,0xC4,0x10,0xF8,0x97,0x92,0xF2,0x9A,0x96,0xF2,0x00,0x00,0x01,0x00,0x3F,0x08,0x88,0x4F,0x30,0x0F,0x04,0x04,0x3F,0x44,0x84,0x7F,0x00,0x00},/*"确",0xC8B7*/
//{0xC8CF,0x40,0x41,0x42,0xCC,0x04,0x00,0x00,0x00,0x80,0x7F,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0x20,0x90,0x60,0x18,0x07,0x00,0x03,0x0C,0x30,0xC0,0x40,0x00},/*"认",0xC8CF*/
{0xC8EB,0x00,0x00,0x00,0x00,0x01,0x83,0x76,0x18,0x60,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x40,0x20,0x10,0x08,0x06,0x01,0x00,0x00,0x00,0x00,0x03,0x04,0x18,0x30,0x10,0x00},/*"入",0xC8EB*/
//{0xC9CF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFE,0x40,0x40,0x40,0x40,0x40,0x00,0x00,0x00,0x00,0x40,0x40,0x40,0x40,0x40,0x40,0x7F,0x40,0x40,0x40,0x40,0x40,0x60,0x40,0x00},/*"上",0xC9CF*/
{0xC9E8,0x40,0x41,0xCE,0x04,0x00,0x80,0x40,0xBE,0x82,0x82,0x82,0xBE,0xC0,0x40,0x40,0x00,0x00,0x00,0x7F,0x20,0x90,0x80,0x40,0x43,0x2C,0x10,0x10,0x2C,0x43,0xC0,0x40,0x00},/*"设",0xC9E8*/
{0xCAB1,0x00,0xFC,0x44,0x44,0x44,0xFC,0x10,0x90,0x10,0x10,0x10,0xFF,0x10,0x10,0x10,0x00,0x00,0x07,0x04,0x04,0x04,0x07,0x00,0x00,0x03,0x40,0x80,0x7F,0x00,0x00,0x00,0x00},/*"时",0xCAB1*/
//{0xCAB5,0x00,0x10,0x0C,0x04,0x4C,0xB4,0x94,0x05,0xF6,0x04,0x04,0x04,0x14,0x0C,0x04,0x00,0x00,0x82,0x82,0x42,0x42,0x23,0x12,0x0A,0x07,0x0A,0x12,0xE2,0x42,0x02,0x02,0x00},/*"实",0xCAB5*/
//{0xCAB6,0x40,0x41,0x4E,0xC4,0x00,0x00,0x00,0xFE,0x82,0x82,0x82,0x82,0xFE,0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0x20,0x90,0x40,0x30,0x0E,0x00,0x00,0x02,0x0C,0x70,0x20,0x00},/*"识",0xCAB6*/
//{0xCAB9,0x40,0x20,0xF0,0x1C,0x07,0xF2,0x94,0x94,0x94,0xFF,0x94,0x94,0x94,0xF4,0x04,0x00,0x00,0x00,0x7F,0x00,0x40,0x41,0x22,0x14,0x0C,0x13,0x10,0x30,0x20,0x61,0x20,0x00},/*"使",0xCAB9*/
//{0xCABC,0x10,0x90,0x70,0x1F,0x12,0xF0,0x00,0x20,0x70,0x28,0x27,0x22,0x28,0x70,0x20,0x00,0x40,0x21,0x12,0x0C,0x06,0x09,0x30,0x00,0x7F,0x21,0x21,0x21,0x21,0x7F,0x00,0x00},/*"始",0xCABC*/
{0xCABD,0x00,0x08,0x88,0x88,0x88,0x88,0x88,0x08,0xFF,0x08,0x09,0x0E,0x0A,0x08,0x00,0x00,0x00,0x20,0x60,0x30,0x1F,0x10,0x08,0x08,0x00,0x07,0x18,0x20,0x40,0x80,0x70,0x00},/*"式",0xCABD*/
//{0xCABE,0x00,0x20,0x20,0x22,0x22,0x22,0x22,0xE2,0x22,0x22,0x22,0x22,0x22,0x20,0x20,0x00,0x10,0x08,0x04,0x03,0x00,0x40,0x80,0x7F,0x00,0x00,0x01,0x02,0x0C,0x18,0x00,0x00},/*"示",0xCABE*/
//{0xCAD4,0x40,0x42,0xDC,0x08,0x00,0x90,0x90,0x90,0x90,0x90,0xFF,0x10,0x12,0x1C,0x10,0x00,0x00,0x00,0x7F,0x20,0x10,0x20,0x20,0x1F,0x10,0x10,0x01,0x06,0x18,0x20,0x78,0x00},/*"试",0xCAD4*/
//{0xCAD5,0x00,0xF8,0x00,0x00,0xFF,0x00,0x40,0x30,0xDF,0x10,0x10,0x10,0xF0,0x10,0x10,0x00,0x00,0x0F,0x04,0x02,0xFF,0x40,0x40,0x20,0x21,0x16,0x08,0x16,0x21,0x60,0x20,0x00},/*"收",0xCAD5*/
{0xCAD6,0x00,0x24,0x24,0x24,0x24,0x24,0x24,0xFE,0x22,0x22,0x22,0x22,0x22,0x20,0x00,0x00,0x02,0x02,0x02,0x02,0x02,0x42,0x82,0x7F,0x02,0x02,0x02,0x02,0x02,0x02,0x02,0x00},/*"手",0xCAD6*/
{0xCAE4,0x44,0xF4,0x4F,0xE4,0x44,0x64,0x90,0x98,0x94,0x93,0x14,0x98,0x08,0xD0,0x10,0x00,0x04,0x04,0x04,0xFF,0x02,0x02,0xFF,0x4A,0x8A,0x7F,0x00,0x3F,0x80,0xFF,0x00,0x00},/*"输",0xCAE4*/
{0xCAFD,0x10,0x92,0x54,0x38,0xFF,0x38,0x54,0x52,0x80,0xF0,0x1F,0x12,0x10,0xF0,0x10,0x00,0x42,0x42,0x2A,0x2E,0x13,0x1A,0x26,0x02,0x40,0x20,0x13,0x0C,0x33,0x60,0x20,0x00},/*"数",0xCAFD*/
//{0xCBB2,0x00,0xFE,0x22,0xFE,0x40,0x32,0xD6,0x9A,0x92,0x16,0x99,0x91,0xF9,0x97,0xB0,0x00,0x00,0x7F,0x11,0xBF,0x44,0x22,0x15,0x0C,0x03,0x08,0x06,0x04,0xFF,0x04,0x04,0x00},/*"瞬",0xCBB2*/
//{0xCBCD,0x40,0x41,0xC6,0x00,0x40,0x48,0x49,0x4E,0xF8,0x4C,0x4B,0x48,0x48,0x40,0x00,0x00,0x40,0x20,0x1F,0x20,0x40,0x48,0x44,0x43,0x40,0x41,0x42,0x4C,0x40,0x40,0x40,0x00},/*"送",0xCBCD*/
{0xCBD9,0x40,0x42,0xCC,0x00,0x04,0xE4,0x24,0x24,0xFF,0x24,0x24,0x24,0xE4,0x04,0x00,0x00,0x40,0x20,0x1F,0x20,0x48,0x49,0x45,0x43,0x7F,0x41,0x43,0x45,0x4D,0x40,0x40,0x00},/*"速",0xCBD9*/
{0xCBE3,0x08,0x07,0xFA,0xAA,0xAE,0xAA,0xAA,0xA8,0xAC,0xAB,0xAA,0xFE,0x0A,0x02,0x02,0x00,0x08,0x08,0x8B,0x6A,0x1E,0x0A,0x0A,0x0A,0x0A,0xFE,0x0A,0x0B,0x08,0x08,0x08,0x00},/*"算",0xCBE3*/
{0xCCAC,0x00,0x04,0x04,0x04,0x84,0x44,0x34,0x4F,0x94,0x24,0x44,0x84,0x84,0x04,0x00,0x00,0x00,0x60,0x39,0x01,0x00,0x3C,0x40,0x42,0x4C,0x40,0x40,0x70,0x04,0x09,0x31,0x00},/*"态",0xCCAC*/
//{0xCCE5,0x40,0x20,0xF8,0x17,0x02,0x10,0x10,0x90,0x70,0xFF,0xD0,0x10,0x10,0x10,0x00,0x00,0x00,0x00,0x7F,0x00,0x08,0x04,0x0A,0x09,0x08,0x7F,0x08,0x09,0x06,0x0C,0x04,0x00},/*"体",0xCCE5*/
{0xCDA3,0x80,0x40,0x20,0xF8,0x07,0x02,0x04,0x74,0x54,0x55,0x56,0x54,0x74,0x04,0x04,0x00,0x00,0x00,0x00,0xFF,0x00,0x03,0x01,0x05,0x45,0x85,0x7D,0x05,0x05,0x05,0x03,0x00},/*"停",0xCDA3*/
{0xCDA8,0x40,0x41,0xC6,0x00,0x00,0xF2,0x52,0x52,0x56,0xFA,0x5A,0x56,0xF2,0x00,0x00,0x00,0x40,0x20,0x1F,0x20,0x40,0x5F,0x42,0x42,0x42,0x5F,0x4A,0x52,0x4F,0x40,0x40,0x00},/*"通",0xCDA8*/
{0xCDB3,0x20,0x30,0x2C,0xA3,0x60,0x10,0x84,0xC4,0xA4,0x9D,0x86,0x84,0xA4,0xC4,0x84,0x00,0x20,0x22,0x23,0x12,0x12,0x92,0x40,0x30,0x0F,0x00,0x00,0x3F,0x40,0x41,0x70,0x00},/*"统",0xCDB3*/
//{0xCDCB,0x40,0x41,0xC6,0x00,0x00,0xFE,0x4A,0x4A,0xCA,0x4A,0x4A,0x7E,0x80,0x00,0x00,0x00,0x40,0x20,0x1F,0x20,0x40,0x5F,0x48,0x44,0x40,0x41,0x42,0x4D,0x58,0x40,0x40,0x00},/*"退",0xCDCB*/
{0xCEA7,0x00,0xFF,0x01,0x89,0xA9,0xA9,0xFF,0xA9,0xA9,0xA9,0xA9,0x09,0x01,0xFF,0x00,0x00,0x00,0xFF,0x40,0x40,0x40,0x40,0x5F,0x40,0x48,0x50,0x4F,0x40,0x40,0xFF,0x00,0x00},/*"围",0xCEA7*/
//{0xCEBB,0x00,0xC0,0x30,0xEC,0x03,0x2A,0xC8,0x09,0x0A,0x0E,0x08,0xE8,0x48,0x08,0x00,0x00,0x01,0x00,0x00,0x7F,0x20,0x20,0x20,0x27,0x20,0x30,0x2E,0x21,0x20,0x20,0x20,0x00},/*"位",0xCEBB*/
//{0xCEC4,0x08,0x08,0x08,0x08,0x78,0x88,0x09,0x0E,0x08,0x88,0x78,0x08,0x08,0x08,0x08,0x00,0x40,0x40,0x40,0x20,0x20,0x11,0x0A,0x04,0x0A,0x11,0x10,0x20,0x60,0x20,0x20,0x00},/*"文",0xCEC4*/
//{0xCEF2,0x40,0x41,0xCE,0x04,0x00,0x40,0x5F,0x51,0x51,0xD1,0x51,0x51,0x5F,0x40,0x00,0x00,0x00,0x00,0x3F,0x10,0x88,0x42,0x22,0x12,0x0A,0x07,0x0A,0x12,0x22,0xE2,0x42,0x00},/*"误",0xCEF2*/
{0xCEF3,0x40,0x41,0xCE,0x04,0x00,0x40,0x5F,0x51,0x51,0xD1,0x51,0x51,0x5F,0x40,0x00,0x00,0x00,0x00,0x3F,0x10,0x88,0x42,0x22,0x12,0x0A,0x07,0x0A,0x12,0x22,0xE2,0x42,0x00},/*"误",0xCEF3*/
{0xCFB5,0x00,0x00,0x02,0x22,0xB2,0xAA,0x66,0x62,0x22,0x11,0x4D,0x81,0x01,0x01,0x00,0x00,0x00,0x40,0x21,0x13,0x09,0x05,0x41,0x81,0x7F,0x01,0x05,0x09,0x13,0x62,0x00,0x00},/*"系",0xCFB5*/
//{0xCFB8,0x10,0x18,0x94,0x53,0x32,0x08,0x00,0xFC,0x84,0x84,0xFC,0x84,0x84,0xFC,0x00,0x00,0x10,0x11,0x11,0x09,0x09,0x09,0x00,0x3F,0x10,0x10,0x1F,0x10,0x10,0x3F,0x00,0x00},/*"细",0xCFB8*/
{0xCFC2,0x00,0x02,0x02,0x02,0x02,0x02,0x02,0xFE,0x22,0x62,0xC2,0x82,0x02,0x03,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0x00,0x00,0x01,0x00,0x00,0x00,0x00,0x00},/*"下",0xCFC2*/
//{0xCFD4,0x00,0x00,0x00,0x3E,0x2A,0xEA,0x2A,0x2A,0x2A,0xEA,0x2A,0x3E,0x00,0x00,0x00,0x00,0x20,0x21,0x22,0x2C,0x20,0x3F,0x20,0x20,0x20,0x3F,0x28,0x24,0x23,0x20,0x20,0x00},/*"显",0xCFD4*/
//{0xCFDE,0xFE,0x02,0x32,0x4E,0x82,0x00,0xFE,0x4A,0xCA,0x4A,0x4A,0x4A,0x7E,0x00,0x00,0x00,0xFF,0x00,0x02,0x04,0x03,0x00,0xFF,0x40,0x20,0x03,0x0C,0x12,0x21,0x60,0x20,0x00},/*"限",0xCFDE*/
//{0xCFEA,0x20,0x21,0xEE,0x04,0x00,0x08,0x49,0x4A,0x4C,0xF8,0x4C,0x4A,0x49,0x48,0x08,0x00,0x00,0x00,0x3F,0x10,0x08,0x02,0x02,0x02,0x02,0x7F,0x02,0x02,0x02,0x02,0x02,0x00},/*"详",0xCFEA*/
{0xCFEE,0x04,0x04,0x04,0xFC,0x04,0x04,0x02,0xF2,0x12,0x1A,0xD6,0x12,0x12,0xF2,0x02,0x00,0x08,0x08,0x08,0x07,0x04,0x44,0x40,0x2F,0x20,0x10,0x0F,0x08,0x10,0x2F,0x60,0x00},/*"项",0xCFEE*/
{0xCFF2,0x00,0x00,0xFC,0x04,0x04,0xE6,0x25,0x24,0x24,0x24,0xE4,0x04,0x04,0xFC,0x00,0x00,0x00,0x00,0x7F,0x00,0x00,0x0F,0x04,0x04,0x04,0x04,0x0F,0x20,0x40,0x3F,0x00,0x00},/*"向",0xCFF2*/
//{0xCFFB,0x08,0x30,0x01,0xC6,0x30,0x00,0xE4,0x38,0x20,0x3F,0x20,0x30,0x28,0xE4,0x00,0x00,0x04,0x04,0xFF,0x00,0x00,0x00,0xFF,0x09,0x09,0x09,0x09,0x49,0x89,0x7F,0x00,0x00},/*"消",0xCFFB*/
{0xD0A1,0x00,0x00,0x00,0xC0,0x70,0x20,0x00,0xFF,0x00,0x10,0x20,0xC0,0x80,0x00,0x00,0x00,0x04,0x02,0x01,0x00,0x00,0x40,0x80,0x7F,0x00,0x00,0x00,0x00,0x01,0x07,0x02,0x00},/*"小",0xD0A1*/
//{0xD0A3,0x08,0x88,0x68,0xFF,0x28,0x48,0x00,0x88,0x68,0x09,0x0E,0x08,0xA8,0x48,0x88,0x00,0x02,0x01,0x00,0xFF,0x00,0x40,0x42,0x21,0x26,0x18,0x18,0x26,0x61,0xC0,0x40,0x00},/*"校",0xD0A3*/
{0xD0A7,0x00,0x08,0xC8,0x39,0x0E,0x18,0xA8,0x48,0x40,0xF0,0x1F,0x12,0x10,0xF0,0x10,0x00,0x40,0x41,0x21,0x12,0x0C,0x0A,0x51,0x40,0x20,0x20,0x13,0x0C,0x33,0x60,0x20,0x00},/*"效",0xD0A7*/
//{0xD0C4,0x00,0x80,0x00,0x00,0xF0,0x00,0x01,0x02,0x1C,0x08,0x00,0x40,0x80,0x00,0x00,0x00,0x04,0x03,0x00,0x00,0x3F,0x40,0x40,0x40,0x40,0x40,0x40,0x78,0x00,0x07,0x02,0x00},/*"心",0xD0C4*/
{0xD0C5,0x80,0x40,0x30,0xFC,0x07,0x0A,0xA8,0xA8,0xA9,0xAE,0xAA,0xA8,0xA8,0x08,0x08,0x00,0x00,0x00,0x00,0x7F,0x00,0x00,0x7E,0x22,0x22,0x22,0x22,0x22,0x7E,0x00,0x00,0x00},/*"信",0xD0C5*/
//{0xD0CD,0x10,0x12,0x92,0x7E,0x12,0x12,0xFE,0x12,0x12,0x10,0xFC,0x00,0x00,0xFF,0x00,0x00,0x40,0x42,0x49,0x48,0x48,0x48,0x49,0x7E,0x48,0x48,0x48,0x4A,0x4C,0x4B,0x40,0x00},/*"型",0xD0CD*/
//{0xD0D0,0x10,0x08,0x84,0xC6,0x73,0x22,0x40,0x44,0x44,0x44,0xC4,0x44,0x44,0x44,0x40,0x00,0x02,0x01,0x00,0xFF,0x00,0x00,0x00,0x00,0x40,0x80,0x7F,0x00,0x00,0x00,0x00,0x00},/*"行",0xD0D0*/
//{0xD0DE,0x20,0x10,0xFC,0x07,0xF2,0x20,0x98,0x8F,0x54,0xA4,0x24,0x54,0xCC,0x80,0x80,0x00,0x00,0x00,0x7F,0x00,0x03,0x54,0x54,0x4A,0x2B,0x25,0x15,0x12,0x0A,0x09,0x00,0x00},/*"修",0xD0DE*/
{0xD0ED,0x40,0x41,0x4E,0xC4,0x00,0x40,0x30,0x0F,0x08,0x08,0xF8,0x08,0x08,0x08,0x00,0x00,0x00,0x00,0x00,0x7F,0x20,0x11,0x01,0x01,0x01,0x01,0xFF,0x01,0x01,0x01,0x01,0x00},/*"许",0xD0ED*/
//{0xC0F8,0x00,0x00,0xFE,0x12,0xF2,0x92,0x92,0x92,0x02,0x10,0x10,0xFF,0x10,0x10,0xF0,0x00,0x20,0x58,0x27,0x18,0x07,0x10,0x20,0x1F,0x40,0x30,0x0E,0x01,0x20,0x60,0x3F,0x00},/*"励",0xC0F8*/
{0xD1A1,0x40,0x40,0x42,0xCC,0x00,0x50,0x4E,0xC8,0x48,0x7F,0xC8,0x48,0x48,0x40,0x00,0x00,0x00,0x40,0x20,0x1F,0x20,0x48,0x46,0x41,0x40,0x40,0x47,0x48,0x48,0x4E,0x40,0x00},/*"选",0xD1A1*/
{0xD1B6,0x20,0x21,0x2E,0xE4,0x00,0x42,0x42,0xFE,0x42,0x42,0x42,0x02,0xFE,0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0x20,0x10,0x00,0x7F,0x00,0x00,0x00,0x00,0x3F,0x40,0x38,0x00},/*"讯",0xD1B6*/
//{0xD1D4,0x00,0x04,0x04,0xA4,0xA4,0xA4,0xA5,0xA6,0xA4,0xA4,0xA4,0xA4,0x04,0x04,0x04,0x00,0x00,0x00,0x00,0xFC,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0xFC,0x00,0x00,0x00,0x00},/*"言",0xD1D4*/
{0xD2C7,0x40,0x20,0xF0,0x0C,0x03,0x00,0x38,0xC0,0x01,0x0E,0x04,0xE0,0x1C,0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x40,0x40,0x20,0x10,0x0B,0x04,0x0B,0x10,0x20,0x60,0x20,0x00},/*"仪",0xD2C7*/
//{0xD2D6,0x08,0x08,0x08,0xFF,0x88,0x00,0xFC,0x04,0x02,0x03,0xFC,0x04,0x04,0x04,0xFC,0x00,0x02,0x42,0x81,0x7F,0x00,0x00,0x0F,0x04,0x02,0x00,0xFF,0x00,0x04,0x08,0x07,0x00},/*"抑",0xD2D6*/
//{0xD3A2,0x04,0x04,0x04,0xE4,0x24,0x2F,0x24,0xF4,0x24,0x24,0x2F,0xE4,0x04,0x04,0x04,0x00,0x02,0x02,0x82,0x43,0x22,0x12,0x0A,0x07,0x0A,0x12,0x22,0x63,0xC2,0x42,0x02,0x00},/*"英",0xD3A2*/
//{0xD3C3,0x00,0x00,0x00,0xFE,0x22,0x22,0x22,0x22,0xFE,0x22,0x22,0x22,0x22,0xFE,0x00,0x00,0x80,0x40,0x30,0x0F,0x02,0x02,0x02,0x02,0xFF,0x02,0x02,0x42,0x82,0x7F,0x00,0x00},/*"用",0xD3C3*/
{0xD3D0,0x00,0x04,0x84,0x44,0xE4,0x34,0x2C,0x27,0x24,0x24,0x24,0xE4,0x04,0x04,0x04,0x00,0x02,0x01,0x00,0x00,0xFF,0x09,0x09,0x09,0x29,0x49,0xC9,0x7F,0x00,0x00,0x00,0x00},/*"有",0xD3D0*/
//{0xD3EF,0x20,0x21,0xEE,0x04,0x00,0x80,0x92,0x92,0xF2,0x9E,0x92,0x92,0xF2,0x82,0x80,0x00,0x00,0x00,0x7F,0x20,0x10,0x00,0x00,0x7E,0x22,0x22,0x22,0x22,0x7E,0x00,0x00,0x00},/*"语",0xD3EF*/
//{0xD3F2,0x10,0x10,0xFF,0x10,0x10,0xC8,0x48,0x48,0xC8,0x08,0xFF,0x08,0x0A,0xEC,0x48,0x00,0x10,0x10,0x0F,0x08,0x28,0x27,0x52,0x52,0x27,0x10,0x0B,0x0C,0x33,0x40,0x38,0x00},/*"域",0xD3F2*/
{0xD4CA,0x00,0x00,0x00,0x20,0x70,0x2C,0xE3,0x20,0x20,0xE0,0x20,0x28,0x70,0x20,0x00,0x00,0x00,0x80,0x40,0x20,0x10,0x0C,0x03,0x00,0x00,0x3F,0x40,0x40,0x40,0x40,0x70,0x00},/*"允",0xD4CA*/
//{0xD4CB,0x40,0x41,0xCE,0x04,0x00,0x20,0x22,0xA2,0x62,0x22,0xA2,0x22,0x22,0x22,0x20,0x00,0x40,0x20,0x1F,0x20,0x28,0x4C,0x4A,0x49,0x48,0x4C,0x44,0x45,0x5E,0x4C,0x40,0x00},/*"运",0xD4CB*/
{0xD4F1,0x08,0x08,0xFF,0x88,0x48,0x08,0x42,0x46,0x2A,0xD2,0x2A,0x46,0x42,0x80,0x80,0x00,0x42,0x81,0x7F,0x00,0x00,0x08,0x09,0x09,0x09,0xFF,0x09,0x09,0x09,0x08,0x08,0x00},/*"择",0xD4F1*/
{0xD5FD,0x00,0x02,0x02,0xC2,0x02,0x02,0x02,0x02,0xFE,0x82,0x82,0x82,0x82,0x82,0x02,0x00,0x20,0x20,0x20,0x3F,0x20,0x20,0x20,0x20,0x3F,0x20,0x20,0x20,0x20,0x20,0x20,0x00},/*"正",0xD5FD*/
//{0xD6B5,0x80,0x40,0xF0,0x2F,0x02,0x04,0xE4,0xA4,0xB4,0xAF,0xA6,0xA4,0xE4,0x04,0x00,0x00,0x00,0x00,0xFF,0x00,0x40,0x40,0x7F,0x4A,0x4A,0x4A,0x4A,0x4A,0x7F,0x40,0x40,0x00},/*"值",0xD6B5*/
{0xD6B7,0x20,0x20,0x20,0xFE,0x20,0x20,0x00,0xE0,0x00,0x00,0xFF,0x40,0x40,0x40,0x00,0x00,0x10,0x30,0x10,0x1F,0x08,0x28,0x20,0x3F,0x20,0x20,0x3F,0x20,0x20,0x30,0x20,0x00},/*"址",0xD6B7*/
{0xD6B9,0x00,0x00,0x00,0x00,0xF0,0x00,0x00,0x00,0xFF,0x40,0x40,0x40,0x40,0x40,0x00,0x00,0x40,0x40,0x40,0x40,0x7F,0x40,0x40,0x40,0x7F,0x40,0x40,0x40,0x40,0x40,0x40,0x00},/*"止",0xD6B9*/
{0xD6C3,0x00,0x20,0x2F,0xA9,0xA9,0xAF,0xE9,0xB9,0xA9,0xAF,0xA9,0xA9,0x2F,0x20,0x00,0x00,0x80,0x80,0x80,0xFF,0xAA,0xAA,0xAA,0xAA,0xAA,0xAA,0xAA,0xFF,0x80,0x80,0x80,0x00},/*"置",0xD6C3*/
{0xD6C6,0x00,0x50,0x4F,0x4A,0x48,0xFF,0x48,0x48,0x48,0x00,0xFC,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x3F,0x01,0x01,0xFF,0x21,0x61,0x3F,0x00,0x0F,0x40,0x80,0x7F,0x00,0x00},/*"制",0xD6C6*/
{0xD6CD,0x00,0x10,0x21,0x86,0x70,0x64,0x24,0x2F,0x24,0xEF,0x24,0x2F,0x24,0x64,0x24,0x00,0x00,0x04,0xFC,0x03,0x00,0x00,0x3F,0x01,0x01,0xFF,0x11,0x21,0x1F,0x00,0x00,0x00},/*"滞",0xD6CD*/
//{0xD6D0,0x00,0x00,0xFC,0x08,0x08,0x08,0x08,0xFF,0x08,0x08,0x08,0x08,0xFC,0x08,0x00,0x00,0x00,0x00,0x07,0x02,0x02,0x02,0x02,0xFF,0x02,0x02,0x02,0x02,0x07,0x00,0x00,0x00},/*"中",0xD6D0*/
//{0xD6D6,0x00,0x12,0x12,0xD2,0xFE,0x51,0x81,0xF0,0x10,0x10,0xFF,0x10,0x10,0xF0,0x00,0x00,0x08,0x04,0x03,0x00,0xFF,0x00,0x00,0x03,0x01,0x01,0xFF,0x01,0x01,0x03,0x00,0x00},/*"种",0xD6D6*/
//{0xD6D8,0x08,0x08,0x0A,0xEA,0xAA,0xAA,0xAA,0xFF,0xA9,0xA9,0xA9,0xE9,0x08,0x08,0x08,0x00,0x40,0x40,0x48,0x4B,0x4A,0x4A,0x4A,0x7F,0x4A,0x4A,0x4A,0x4B,0x48,0x40,0x40,0x00},/*"重",0xD6D8*/
//{0xD6F7,0x00,0x00,0x10,0x10,0x10,0x10,0x11,0xF6,0x12,0x10,0x10,0x10,0x98,0x10,0x00,0x00,0x00,0x20,0x21,0x21,0x21,0x21,0x21,0x3F,0x21,0x21,0x21,0x21,0x21,0x31,0x20,0x00},/*"主",0xD6F7*/
//{0xD7AA,0xC8,0xA8,0x9C,0xEB,0x88,0x88,0x88,0x40,0x48,0xF8,0x4F,0x48,0x48,0x48,0x40,0x00,0x08,0x08,0x04,0xFF,0x04,0x04,0x00,0x02,0x0B,0x12,0x22,0xD2,0x0E,0x02,0x00,0x00},/*"转",0xD7AA*/
{0xD7B4,0x08,0x30,0x00,0xFF,0x20,0x20,0x20,0x20,0xFF,0x20,0xE1,0x26,0x2C,0x20,0x20,0x00,0x04,0x02,0x01,0xFF,0x40,0x20,0x18,0x07,0x00,0x00,0x03,0x0C,0x30,0x60,0x20,0x00},/*"状",0xD7B4*/
{0xD7D4,0x00,0x00,0x00,0xF8,0x48,0x48,0x4C,0x4B,0x4A,0x48,0x48,0x48,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0xFF,0x00,0x00,0x00},/*"自",0xD7D4*/
{0xD7DC,0x00,0x00,0x00,0xF8,0x89,0x8E,0x88,0x88,0x88,0x8C,0x8B,0xF8,0x00,0x00,0x00,0x00,0x00,0x20,0x38,0x00,0x3C,0x40,0x40,0x42,0x4C,0x40,0x40,0x70,0x04,0x18,0x30,0x00},/*"总",0xD7DC*/
//{0xD7E8,0x00,0xFF,0x11,0x29,0xC7,0x01,0xFE,0x22,0x22,0x22,0x22,0x22,0xFE,0x00,0x00,0x00,0x00,0xFF,0x02,0x04,0x43,0x40,0x7F,0x42,0x42,0x42,0x42,0x42,0x7F,0x40,0x40,0x00},/*"阻",0xD7E8*/
{0xD7F7,0x80,0x40,0x20,0xF8,0x07,0x22,0x18,0x0C,0xFB,0x48,0x48,0x48,0x68,0x48,0x08,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x04,0x04,0x04,0x04,0x06,0x04,0x00},/*"作",0xD7F7*/
{0xE3D0,0x00,0xF8,0x01,0xD2,0x50,0x50,0xD2,0x12,0xFE,0x16,0x9A,0x12,0x02,0xFE,0x00,0x00,0x00,0xFF,0x00,0x09,0x09,0x05,0x15,0x10,0x09,0x06,0x09,0x5C,0x80,0x7F,0x00,0x00},/*"阈",0xE3D0*/
};

/*
typedef struct 
{
    char  *Name_CN;
    char  *Name_EN;
}MenuName;




*/


/*
__root  _para_set  para_set[MENUNAME_ALL_NUM]=
{
  (INT8U *)(para_data_temp.para_1),4,0,{9,9,9,9,9},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_list1,type_list1},0,NULL,
  (INT8U *)(para_data_temp.para_2),3,0,{9,9,9,9,0},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_list1,type_none},0,NULL,
 // (INT8U *)(¶_data_temp.para_3),0,0,{1,0,0,0,0},{0,0,0,0,0},{type_auto,type_none,type_none,type_none,type_none},0,NULL,
  (INT8U *)(¶_data_temp.para_3),0,0,{2,0,0,0,0},{0,0,0,0,0},{type_auto,type_none,type_none,type_none,type_none},0,NULL,
  (INT8U *)(para_data_temp.para_4),4,0,{9,9,9,9,9},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_list1,type_list1},0,NULL,
  (INT8U *)(para_data_temp.para_5),3,0,{9,9,9,9,0},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_list1,type_none},1,NULL,
  (INT8U *)(para_data_temp.para_6),4,0,{9,9,9,9,9},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_list1,type_list1},1,NULL,
  (INT8U *)(¶_data_temp.para_7),0,0,{1,0,0,0,0},{0,0,0,0,0},{type_over,type_none,type_none,type_none,type_none},0,NULL,
  (INT8U *)(para_data_temp.para_8),3,0,{9,9,9,9,0},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_list1,type_none},1,NULL,
  (INT8U *)(para_data_temp.para_9),3,0,{9,9,9,9,0},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_list1,type_none},1,NULL,
  (INT8U *)(para_data_temp.para_10),2,0,{9,9,9,0,0},{0,0,0,0,0},{type_list1,type_list1,type_list1,type_none,type_none},0,NULL,
};
*/

/*
INT8U mLCD_NumberCommand_Uncode(INT8U command)
{
  if(command==COMMAND_0)  return(0);
  if(command==COMMAND_1)  return(1);
  if(command==COMMAND_2)  return(2);
  if(command==COMMAND_3)  return(3);
  if(command==COMMAND_4)  return(4);
  if(command==COMMAND_5)  return(5);
  if(command==COMMAND_6)  return(6);
  if(command==COMMAND_7)  return(7);
  if(command==COMMAND_8)  return(8);
  if(command==COMMAND_9)  return(9);
  return(0xFF);
}
*/

void  timer_delay_us(  INT32U num )//num us
{
  while(num--)
  {
      __no_operation();__no_operation();__no_operation();__no_operation();
      __no_operation();__no_operation();__no_operation();__no_operation();
      __no_operation();__no_operation();__no_operation();__no_operation();
      __no_operation();__no_operation();__no_operation();__no_operation();
      __no_operation();__no_operation();__no_operation();__no_operation();
      __no_operation();__no_operation();__no_operation();__no_operation();
      __no_operation();__no_operation();__no_operation();
  }
}




void dis_st7920_s_bit(INT8U SID_data)
{
  INT8U n;
  for(n=0;n<8;n++)
  {
    LCDCKL;
      __no_operation();__no_operation();
    
   // timer_delay_us(3-1-1);
    if(SID_data&0x80)
      LCDSIH;
    else
      LCDSIL;
    __no_operation();//__no_operation(); 
   // timer_delay_us(3-1-1); 
    LCDCKH;
    __no_operation();//__no_operation(); 
  //  timer_delay_us(6-2-2-1); 
    LCDCKL;
    SID_data<<=1;
     __no_operation();
  }
}

void dis_st7920_s(INT8U SID_BEGIN,INT8U SID_data)
{
  INT8U  i;
  LCDCSH;
  dis_st7920_s_bit(SID_BEGIN);
  dis_st7920_s_bit(SID_data&0xf0);
  dis_st7920_s_bit((SID_data<<4)&0xf0);
  LCDCSL;
  for(i=0;i<200;i++);
}

void LCMClearScreen(void)
{
  dis_st7920_s(0XF8,ST7920_COM_CLR);
  timer_delay_us(50); 
}

/**************************************************/
//-----------------液晶汉字初始化-----------------//
/**************************************************/
void LCMTextInit(void)
{
  timer_delay_us(50);
  dis_st7920_s(0XF8,ST7920_COM_DISPLAY_FUNCTION);
  dis_st7920_s(0XF8,ST7920_COM_DISPLAY_FUNCTION);
  dis_st7920_s(0XF8,ST7920_COM_DISPLAY_MODEL);
  LCMClearScreen( );
  dis_st7920_s(0XF8,ST7920_COM_ADDR_RETURN);
  dis_st7920_s(0XF8,ST7920_COM_CURSOR_SHIFT_R_1);
}

/**************************************************/
//---------------液晶汉字显示函数-----------------//
/**************************************************/
//Display Char String
//address = 1~32	顺序排列汉字或字符
void LCMTextOut(INT8U address,INT8U *LCMCharArray)
{
  if((address>8) && (address<17)) address=address+8;
  else if((address>16) && (address<25)) address=address-8;
  address=address+0x7F;
  dis_st7920_s(0XF8,address);
  while(*LCMCharArray)
  {
    dis_st7920_s(0XFA,*LCMCharArray);
    LCMCharArray++;
  }
}

/**************************************************/
//-----------------液晶图形初始化-----------------//
/**************************************************/
void Graphic_LCM_init(void)
{
  timer_delay_us(100);
  dis_st7920_s(0XF8,Graphic_INI_LCM_PRECURSOR_BYTE);
  timer_delay_us(50);
  dis_st7920_s(0XF8,Graphic_INI_LCM_PRECURSOR_BYTE);
  timer_delay_us(50);
  dis_st7920_s(0XF8,Graphic_INI_LCM_PRECURSOR_BYTE);
  dis_st7920_s(0XF8,Graphic_FUNCTION_SET);
  dis_st7920_s(0XF8,Graphic_SLEEP_MODE_AND_SET_GRAM_PAGR);
  dis_st7920_s(0XF8,Graphic_START_ROW_ENABLE);
  dis_st7920_s(0XF8,Graphic_STANDBY_MODE);
  dis_st7920_s(0XF8,Graphic_DISPLAY_SHIFT_BY_DOT_0);
}
/**************************************************/
//---------------液晶图形显示函数-----------------//
/**************************************************/
//x_axis在满16的位置显示,满足16X16的点阵
//x_axis=1~128,设置1,17,33,49,65,81,97, 113
//y_axis=1~64, 设置1--64
void GraphicDisplay(INT8U x_axis,INT8U y_axis,INT8U *Ramarrayfirstaddress,INT8U width,INT8U length)
{
  INT8U x_axis_temp,y_axis_temp;
  INT8U x_axis_start,x_axis_end,y_axis_start,y_axis_end;
  x_axis_start = (x_axis-1)/16;
  x_axis_end = x_axis_start+width/16;
  y_axis_start = y_axis-1;
  y_axis_end = y_axis_start+length;
  for(y_axis_temp=(y_axis-1);y_axis_temp<y_axis_end;y_axis_temp++)
  {
    if(y_axis_temp<32)
      dis_st7920_s(0XF8,Graphic_base_line_address+y_axis_temp);
    else
      dis_st7920_s(0XF8,Graphic_base_line_address+y_axis_temp-32);
    if(y_axis_temp<16)	dis_st7920_s(0XF8,Graphic_display_base_column_1+x_axis_start);
    else if(y_axis_temp<32)	dis_st7920_s(0XF8,Graphic_display_base_column_2+x_axis_start);
    else if(y_axis_temp<48) dis_st7920_s(0XF8,Graphic_display_base_column_3+x_axis_start);
    else dis_st7920_s(0XF8,Graphic_display_base_column_4+x_axis_start);
    for(x_axis_temp=x_axis_start;x_axis_temp<x_axis_end;x_axis_temp++)
    {
      dis_st7920_s(0XFA,*Ramarrayfirstaddress);
      Ramarrayfirstaddress++;
      dis_st7920_s(0XFA,*Ramarrayfirstaddress);	
      Ramarrayfirstaddress++;
    }
  }
}


void mLCD_Initiate(void) 
{   
 // LCDA0;
  LCDRE;
  LCDCK;
  LCDCS;
  LCDSI;
  LCDREH;                     //复位位置零 
  timer_delay_us(250);
  LCDREL;                     //复位位置位 
  timer_delay_us(500);
  LCDREH;
  LCMTextInit( );
  Graphic_LCM_init( );
  mLCD_ClearLCD();
  timer_delay_us(500);
}       

void mLCD_Closed(void) 
{   
  mLCD_ClearLCD( );
  mLCD_LCDDISP( );
 // LCDA0;
  LCDRE;
  LCDCK;
  LCDCS;
  LCDSI;
 // LCDA0L;
  LCDREL;
  LCDCKL;
  LCDCSL;
  LCDSIL;
}

void mLCD_Disp_Buffer_1616_Change(INT8U num1,INT8U num2)
{
  INT8U temp[8];
  INT8U i,j;
  for(i=0;i<8;i++)  temp[i]=0;
  for(i=0;i<8;i++)
  {
    for(j=0;j<8;j++)
    {
      temp[j]<<=1;
      temp[j]= temp[j]+((mLCD_DISP_Buffer[num1*128+num2*8+i]>>j)&0x01);
    }
  }
  for(i=0;i<8;i++)  
  {
    mLCD_DISP_Buffer_back[num2+16*i]=temp[i];
  }
}
void mLCD_Disp_Buffer_12816_Change(INT8U num)
{
  INT8U i;
  for(i=0;i<128;i++)
  {
    mLCD_DISP_Buffer_back[i]=0;
  }
  for(i=0;i<16;i++)  
  mLCD_Disp_Buffer_1616_Change(num,i);
  for(i=0;i<128;i++)  
    mLCD_DISP_Buffer[num*128+i]=mLCD_DISP_Buffer_back[i];
}
void mLCD_LCDDISP(void)
{
  INT16U x;
  for(x=0;x<8;x++)
    mLCD_Disp_Buffer_12816_Change(x);
  GraphicDisplay(1,1,mLCD_DISP_Buffer,128,64);
}

void mLCD_ClearLCD(void)
{
    INT16U x;  
    for(x = 0; x < 1024; x++)
    mLCD_DISP_Buffer[x]=0;
}

void mLCD_WriteString(INT8U x,INT8U y,const INT8U *DataBuf,INT8U DataBufLong)
{   
    INT16U i,j;
    INT8U up,down;
    INT8U writeword1,writeword2,writeword;
    up=(y%8);
    down=8-up;
    j=y/8;
    if(j<8)
    {
       for(i = 0; i<(DataBufLong>>1); i++) 
       {
           writeword1=mLCD_DISP_Buffer[128*j+x+i];
           writeword1=(writeword1<<down);
           writeword1=(writeword1>>down);
           writeword2=*(DataBuf+i);
           writeword2=(writeword2<<up);
           writeword=writeword1|writeword2;
           mLCD_DISP_Buffer[128*j+x+i]=writeword;
       }
    }
    if((j+2)<8)
    {
       for(i = 0; i<(DataBufLong>>1); i++) 
       {
           writeword1=mLCD_DISP_Buffer[128*(j+2)+x+i];
           writeword1=(writeword1>>up);
           writeword1=(writeword1<<up);
           writeword2=*(DataBuf+i+(DataBufLong>>1));
           writeword2=(writeword2>>down);
           writeword=writeword1|writeword2;
           mLCD_DISP_Buffer[128*(j+2)+x+i]=writeword;
       }
    }
    if((j+1)<8)
    {
       for(i = 0; i<(DataBufLong>>1); i++) 
       {
           writeword1=*(DataBuf+i);
           writeword1=(writeword1>>down);
           writeword2=*(DataBuf+i+(DataBufLong>>1));
           writeword2=(writeword2<<up);
           writeword=writeword1|writeword2;
           mLCD_DISP_Buffer[128*(j+1)+x+i]=writeword;
       }
    }
}

void mLCD_WriteStrings(INT8U x,INT8U y,char *S1,INT8U Len)
{
   INT8U  i,j,Val_H,Val_L;
   INT16U Val;
   if(Len==0)
   Len=strlen(S1);
   for(i=0;i<Len;i++)
   {
      Val_H=*(S1+i);
      if(Val_H>=0xA1)
      {
         Val_L=*(S1+i+1);
	 if(Val_L>=0xA1)
	 {
	    Val=Val_H*256+Val_L;
	    i=i+1;
	    for(j=0;j<ALL_CN_NUM;j++)
            {
              if(ALL_CN_Font[j].ASC_Value==Val) break;
            }
            if(j==ALL_CN_NUM)   continue;
            mLCD_WriteString(x+8*i-8,y,ALL_CN_Font[j].ASC_Font,32);
	 }
      }
      else
      {
         for(j=0;j<ALL_EN_NUM;j++)
         {
            if(ALL_EN_Font[j].ASC_Value==Val_H) break;
         }
         if(j==ALL_EN_NUM)   continue;
         mLCD_WriteString(x+8*i,y,ALL_EN_Font[j].ASC_Font,16);
      }
   }
}

void mLCD_WritePoint(INT8U x,INT8U y)
{
    INT8U i,j,m,n;
    j=y/8;
    m=mLCD_DISP_Buffer[128*j+x];
    i=(y%8);
    n=(m|(0x01<<i));
    mLCD_DISP_Buffer[128*j+x]=n;
}

void mLCD_WritePoints(INT8U x,INT8U y)
{
    mLCD_WritePoint(x,y);
    mLCD_WritePoint(x,y+1);
    mLCD_WritePoint(x+1,y);
    mLCD_WritePoint(x+1,y+1);
}

void mLCD_WriteLine(INT8U x,INT8U y,INT8U linelong,INT8U lineflag)// 0横线 1竖线
{
   INT8U i;
   if(lineflag==1)
   { 
     for(i=y;i<(y+linelong);i++)
     {
        mLCD_WritePoint(x,i);
     } 
   }
   else
   {
     for(i=x;i<(x+linelong);i++)
     {
       mLCD_WritePoint(i,y);
     } 
   }
}

void mLCD_WriteNum(INT8U x,INT8U y,INT8U n)
{
   INT8U temp[2];
   temp[0]=0x30+n;
   temp[1]=0;
   mLCD_WriteStrings(x,y,(char*)temp,1);
}

void mLCD_WriteLineNum(INT8U x,INT8U y,INT8U len,INT32U num)
{
  INT8U i;
  INT8U temp[10];
  for(i=0;i<len;i++)
  {
    temp[len-1-i]=num%10;
    num=num/10;
  }
  for(i=0;i<len;i++)
    mLCD_WriteNum(x+8*i,y,*(temp+i));
}

void mLCD_WriteLineFloat(INT8U x,INT8U y,INT8U len,float fnum)
{
  INT8U   i,j;
  INT8U   temp[10];
  INT32U  num;
  num=(INT32U)(fnum);
  for(i=0;i<len;i++)
  {
    num=num/10;
    if(num==0)       break;
  }
  j=len-i-1;
  fnum=fnum*pow(10,j)+0.5;
  num=(INT32U)(fnum);
  for(i=0;i<len;i++)
  {
    temp[len-1-i]=num%10;
    num=num/10;
  }
  for(i=0;i<len;i++)
    mLCD_WriteNum(x+8*i,y,*(temp+i));
  mLCD_WritePoints(x+(len-1-j)*8+7,y+13);
}

void mLCD_ClearScreen(void)
{ 
      mLCD_ClearLCD( );
      mLCD_LCDDISP( );
}

void mLCD_Demo_Display(void)
{ 
      mLCD_ClearLCD();
      mLCD_WriteStrings(0,0,"多点温度采集系统",0);
      mLCD_WriteStrings(10,32,"loading......",0); 
      mLCD_LCDDISP();
}

2.2 LCD.h

/*
LCD SIMO-P4.1
LCD CS  -P4.2
LCD CLK-P4.3
LCD RES-P4.5
*/

#ifdef  mLCD_GLOBALS
#define mLCD_EXT
#else
#define mLCD_EXT  extern
#endif

#define BOOLEAN unsigned char
#define INT8U   unsigned char
#define INT8S   signed   char
#define INT16U  unsigned int
#define INT16S  signed   int
#define INT32U  unsigned long
#define INT32S  signed   long
#define FP32    float


#define CONTROL_ON        Manual_CTL_Key=2;
#define CONTROL_OFF       Manual_CTL_Key=1;
#define CONTROL_STOP      Manual_CTL_Key=3;

#define PARA_READ   yn_Read_Fm_to_Disp();    
#define PARA_SAVE   yn_SetParaDeal();



#define  LCDSI    P4DIR |= BIT1
#define  LCDCS    P4DIR |= BIT2
#define  LCDCK    P4DIR |= BIT3 
#define  LCDRE    P4DIR |= BIT5

#define  LCDCKL   P4OUT &=~BIT3
#define  LCDCKH   P4OUT |=BIT3

#define  LCDSIH   P4OUT |= BIT1
#define  LCDSIL   P4OUT &=~BIT1

#define  LCDCSH   P4OUT |=BIT2
#define  LCDCSL   P4OUT &=~BIT2

#define  LCDREH   P4OUT |= BIT5
#define  LCDREL   P4OUT &=~BIT5





#define ST7920_COM_CLR 	0X01//清除显示1.6MS
#define ST7920_COM_ADDR_RETURN  0X02//地址归位(光标回首位)
#define ST7920_COM_CURSOR_SHIFT_R_1  0X06//光标右移72US
#define ST7920_COM_DISPLAY_MODEL_D  0X04//0X04-整体显示ON;0x00-整体显示OFF
#define ST7920_COM_DISPLAY_MODEL_C_OFF  0X00//0X02-光标显示ON;0x00-光标显示OFF
#define ST7920_COM_DISPLAY_MODEL_B_OFF  0X00//0X01-光标反显ON;0X00-光标反显OFF
#define ST7920_COM_DISPLAY_MODEL        ST7920_COM_DISPLAY_MODEL_D|ST7920_COM_DISPLAY_MODEL_C_OFF|ST7920_COM_DISPLAY_MODEL_B_OFF|0x08
#define ST7920_COM_DISPLAY_FUNCTION_DL  0X10//0X10-8位控制;0X00-4位控制
#define ST7920_COM_DISPLAY_FUNCTION_RE_OFF  0X00//0X00-基本指令;0X04-扩展指令
#define ST7920_COM_DISPLAY_FUNCTION  0X20|ST7920_COM_DISPLAY_FUNCTION_DL|ST7920_COM_DISPLAY_FUNCTION_RE_OFF
/**************************************************/
//---------------LCM常用命令定义------------------//
/**************************************************/
//该液晶为两个显示层,第一显示层为字符显示层,第二层为图形显示层,两个显示层的逻辑关系为异或(XOR)。
//正常指令:字符显示	扩展指令:图形显示
//字符模式下想显示反白体,需要在图形模式下完全点亮所有点:因为字符显示页与图形显示页是异或逻辑关系
#define Graphic_INI_LCM_PRECURSOR_BYTE  0x3E//液晶图形显示:初始化先导字
#define Graphic_STANDBY_MODE  0x01//液晶图形显示:进入开始图形显示模式
#define Graphic_START_ROW_ENABLE  0x02//液晶图形显示:关掉显示ROM转换
#define Graphic_SLEEP_MODE_AND_SET_GRAM_PAGR  0x0F//液晶图形显示:唤醒睡眠模式,单页显示图形
#define Graphic_DISPLAY_SHIFT_BY_DOT_0  0x17//液晶图形显示:所有线显示使能,点BY点左移转换
#define Graphic_DISPLAY_SHIFT_BY_DOT_1  0x10//液晶图形显示:所有线显示使能,点BY点右移转换
#define Graphic_FUNCTION_SET  0x3E//液晶图形显示:选择每图形16线显示,四行模式,图形显示打开,扩展指令
/*******************************液晶图形函数**********************************/
//display 128DOT X 64DOT Graphic
//点阵取膜:横向取膜
//每线16个字节数据,且第1线与第33线共用一个线地址,即对应线地址0x80写入32个正好写满第1、33两线,
//前16个字节数据从左自右顺序写入第1线,前16个字节数据从左自右顺序写入第33线。以下线依次对应,同上。
//注:也可以通过行、列地址对某一16DOT X 16DOT直接改写
#define Graphic_base_line_address  0x80//someoneline address numberbytes<=32,if it>32 then must separate line display
#define Graphic_display_base_column_1  0x80
#define Graphic_display_base_column_2  0x90
#define Graphic_display_base_column_3  0x88
#define Graphic_display_base_column_4  0x98
/*******************************************************************/

#define  ALL_EN_NUM         95 //英文ASCII码长度
#define  ALL_CN_NUM         188//  188 中文ASCII码长度
#define  NUMBER_NUM         10 //输入支持数字数
#define  MENUNAME_ALL_NUM   10 //菜单总长度


#define COMMAND_POWER      0x45
#define COMMAND_MENU       0x47
#define COMMAND_TEST       0x44
#define COMMAND_PLUS       0x40
#define COMMAND_EXIT       0x43
#define COMMAND_PREVIOUS   0x07
#define COMMAND_ENTER      0x15
#define COMMAND_NEXT       0x09
#define COMMAND_0          0x16
#define COMMAND_MINUS      0x19
#define COMMAND_C          0x0D
#define COMMAND_1          0x0C
#define COMMAND_2          0x18
#define COMMAND_3          0x5E
#define COMMAND_4          0x08
#define COMMAND_5          0x1C
#define COMMAND_6          0x5A
#define COMMAND_7          0x42
#define COMMAND_8          0x52
#define COMMAND_9          0x4A

typedef struct 
{
  INT8U  para_1[5];//控制流量设定
  INT8U  para_2[4];//调节步幅
  INT8U  para_3;//控制方式
  INT8U  para_4[5];//慢调范围
  INT8U  para_5[4];//控制迟滞
  INT8U  para_6[5];//控制超时
  INT8U  para_7;//超时选择
  INT8U  para_8[4];//控制精度
  INT8U  para_9[4];//快调范围
  INT8U  para_10[3];//通讯地址
} _para_data_temp;

typedef enum 
{
  type_none,
  type_auto,
  type_over,
  type_list1,
}_para_type;

typedef struct
{
  INT8U *para_src;
  INT8U para_len;
  INT8U para_ptr;
  INT8U para_mark[5];
  INT8U para_buffer[5];
  _para_type para_type[5];
  INT8U para_ponit;
  INT8U *para_end;
}_para_set;



typedef struct 
{
  INT16U now_flow;
  INT8U  now_flow_p;
  INT8U  now_flow_u;
  INT16U set_flow;
  INT8U  set_flow_p;
  INT8U  set_flow_u;
  INT8U  r_state;    
  INT8U  c_state;
  
  INT8U  total_p;
  INT8U  total_u;
  
  
}_disp_v;


typedef struct 
{
  INT16U   c_flow;//控制流量设定
  INT16U   c_flow_p;//控制流量设定小数点
  INT16U   adj_setp;//调节步幅
  INT16U   c_type;//控制方式
  INT16U   sl_adjust;//慢调范围
  INT16U   sl_adjust_p;//慢调范围小数点
  INT16U   c_delay;//控制迟滞
  INT16U   c_over;//控制超时
  INT16U   over_select;//超时选择
  INT16U   c_accuracy;//控制精度
  INT16U   fast_adjust;//快调范围
  INT16U   com_address;//通讯地址
}_disp_p;




mLCD_EXT INT8U mLCD_Code_Buffer[5];
mLCD_EXT INT8U mLCD_Code_Num;
__no_init  mLCD_EXT INT8U mLCD_DISP_Buffer[1024];
__no_init  mLCD_EXT INT8U mLCD_DISP_Buffer_back[128];
mLCD_EXT _para_data_temp  para_data_temp;
mLCD_EXT _disp_v disp_v; 
mLCD_EXT _disp_p disp_p; 


mLCD_EXT INT8U mLCD_Disp_Step;
mLCD_EXT INT8U mLCD_HostMenu_Num;
mLCD_EXT INT8U mLCD_RunInterface_Num;

mLCD_EXT INT8U Manual_CTL_Status;




mLCD_EXT INT8U    mLCD_NumberCommand_Uncode(INT8U command);
mLCD_EXT void     mLCD_InstructionWrite(INT8U WriteData);
mLCD_EXT void     mLCD_DataWrite(INT8U WriteData);
mLCD_EXT void     mLCD_Initiate(void);
mLCD_EXT void     mLCD_Closed(void);
mLCD_EXT void     mLCD_LCDDISP(void);
mLCD_EXT void     mLCD_ClearLCD(void); 
mLCD_EXT void     mLCD_WriteString(INT8U x,INT8U y,const INT8U *DataBuf,INT8U DataBufLong);
mLCD_EXT void     mLCD_WriteStrings(INT8U x,INT8U y,char *S1,INT8U Len);
mLCD_EXT void     mLCD_WritePoint(INT8U x,INT8U y);
mLCD_EXT void     mLCD_WritePoints(INT8U x,INT8U y);
mLCD_EXT void     mLCD_WriteLine(INT8U x,INT8U y,INT8U linelong,INT8U lineflag);// 0横线 1竖线
mLCD_EXT void     mLCD_WriteOpposition(INT8U x);
mLCD_EXT void     mLCD_WriteMnu(INT8U x,INT8U y,INT8U AD,INT8U LA);
mLCD_EXT void     mLCD_WriteMnu_ALL(INT8U now,INT8U end,INT8U LA);
mLCD_EXT void     mLCD_Write_Run_Interface(void);
mLCD_EXT void     mLCD_Write_Hand_Interface(INT8U step);
mLCD_EXT void     mLCD_Write_Hand_Interface_Flow(void);
mLCD_EXT void     mLCD_Write_Code_Interface(void);
mLCD_EXT void     mLCD_Write_Set(INT8U *data,INT8U len,INT8U cursor,_para_type *type,INT8U point,INT8U *end);
mLCD_EXT void     mLCD_WriteMnu_Set_Interface(INT8U mnu_num);
mLCD_EXT void     mLCD_Data_Read(INT8U mnu_num);
mLCD_EXT void     mLCD_Data_Save(INT8U mnu_num);
mLCD_EXT INT8U    mLCD_LCD_Response_Key(void);
mLCD_EXT void     mLCD_WriteLineNum(INT8U x,INT8U y,INT8U len,INT32U num);
mLCD_EXT void     mLCD_Demo_Display(void);
mLCD_EXT void     mLCD_ClearScreen(void);

由 LiangJian 写于 2019 年 10 月 15 日

你可能感兴趣的:(MSP430)