【quartus】用原理图输入法设计4位全加器

设计思路:

  首先设计一位半加器,利用层次化设计的思想借助一位半加器实现一位全加器

知识储备:

半加器:

1、真值表:

【quartus】用原理图输入法设计4位全加器_第1张图片

2、关系式:

全加器:

1、真值表:

【quartus】用原理图输入法设计4位全加器_第2张图片

2、由半加器实现的方法如实现方法中所示

附:

1、各种门电路的图形符号:

【quartus】用原理图输入法设计4位全加器_第3张图片

2、各种逻辑运算的字母符号

【quartus】用原理图输入法设计4位全加器_第4张图片

quartus使用问题:

创建工程时芯片的选择:

这里博主使用的是介个(如下图),暂时没有仔细研究,日后补充

【quartus】用原理图输入法设计4位全加器_第5张图片

仿真:

1、创建仿真文件:

(1)

【quartus】用原理图输入法设计4位全加器_第6张图片

(2)

【quartus】用原理图输入法设计4位全加器_第7张图片

 

2、添加引脚:

(1)双击箭头处

【quartus】用原理图输入法设计4位全加器_第8张图片

(2)

【quartus】用原理图输入法设计4位全加器_第9张图片

(3)

【quartus】用原理图输入法设计4位全加器_第10张图片

3、设置仿真时间:

(1)

【quartus】用原理图输入法设计4位全加器_第11张图片

(2)设置为10us

 

4、输入信号的设置:

(1)点击此处设置输入信号:

【quartus】用原理图输入法设计4位全加器_第12张图片

(2)A信号此处的值改为500、B改为250

【quartus】用原理图输入法设计4位全加器_第13张图片

 

5、保存仿真文件

 

6、进行仿真

【quartus】用原理图输入法设计4位全加器_第14张图片

【quartus】用原理图输入法设计4位全加器_第15张图片

实现方法:

(此处创建工程等过程具体操作不再赘述)

1、创建工程OneHalfAdder(一位半加器)

2、按图示方法创建原理图

【quartus】用原理图输入法设计4位全加器_第16张图片

3、编译、仿真

4、生成半加器符号图:

【quartus】用原理图输入法设计4位全加器_第17张图片

 

5、将图示两个文件复制到OneFullAdder(一位全加器)当中

6、创建OneFullAdder工程,按照下图所示连接电路,编译、仿真。

【quartus】用原理图输入法设计4位全加器_第18张图片

7、相同方法生成符号图、并将相应的文件复制到FourFullAdder当中

注:OneHalfAdder的两个文件也要添加到FourFullAdder中,否则报如下错误

 

8、按照下图所示完成电路的连接

【quartus】用原理图输入法设计4位全加器_第19张图片

9、编译、仿真、完成

 

 

 

你可能感兴趣的:(quartus,ii)