create_generated_clock

create_generated_clock
-edges
-divide_by
-multiply_by
(与create_clock -period -waveform不同,通过上述三个指定波形)
create_generated_clock_第1张图片
-divide_by :
create_clock -period 10 -name CLK [get_ports CLK]
create_generated_clock -name LSB -source [get_port CLK] -divide_by 2 [get_pins FF1/Q]
create_generated_clock -name MSB -source [get_pins FF1/Q] -divide_by 2 [get_pins FF2/Q]
create_generated_clock -name MSB -source [get_port CLK] -divide_by 4 [get_pins FF2/Q]
-edges:
create_generated_clock -name LSB -source [get_ports CLK] -edges {1 3 5}[get_pins FF1/Q]
create_generated_clock -name MSB -source [get_pins FF1/Q] -edges {1 3 5}[get_pins FF2/Q]
create_generated_clock -name MSB -source [get_ports CLK] -edges {1 5 9} [get_pins FF2/Q]
(-edges中间3个数字代表上升沿和下降沿和上升沿)

你可能感兴趣的:(create_generated_clock)