ncverilog 使用 systemverilog DPI调用C、C++

DPI: Direct program interface

通过DPI我们可以直接在测试阶段调用c函数完成一些任务(产生激励,时序分析,等。。。)

用ncverilog进行仿真时需要注意以下几点:


1 ncvlog -sv 选项打开

2 ncsim -sv_lib "libname" lib name 是包含c函数的动态链接库,可以是完整的路径,也可以是lib的名字,

                -sv_root: 如果libname不是给出的完整路径,用该选项来指定路径

3 编写的c函数,文件名尽量与函数名一致,并且在函数明前加上DPI_DLLESPEC,头文件包含“svdpi.h”

4 编译产生动态链接库(尽量使用同样的机器编译和运行ncverilog(同为32位或者64位否则会产生 wrong ELF class: ELFCLASS32的错误)

   编译命令为: gcc -fPIC -shared -o libname cfiles.c

5 在verilog中使用:

   import  "DPI-C" function/task  void/int.... function_name (input ... ouput....inout); 

 直接调用unction_name;

你可能感兴趣的:(IC,Design)