FPGA实现图像处理中的直方图统计

利用FSM,状态机编写程序实现直方图统计,大意为,对图像中各个灰度级的像素个数进行计算并统计。我现在利用RAM,把图像的灰度级当做地址输入,然后像素数目当做ram的内容。统计同一灰度级的像素数目就是在双口RAM中在同一地址进行内容的累加。

状态机如图所示:

FPGA实现图像处理中的直方图统计_第1张图片其中各个状态为:FPGA实现图像处理中的直方图统计_第2张图片


仿真结果如图所示:

FPGA实现图像处理中的直方图统计_第3张图片

其中用到了倍频,倍频方法上一个文档说过了。



你可能感兴趣的:(FPGA)