FPGA | Verilog学习的各大修炼圣地(推荐篇)

HDLBits: 

笔者最近在学习Verilog的时候遇到诸多不便,但是前不久找到了一个十分适合线上学习的网站,首先向大家推荐一个学习 Verilog 的好去处:HDLBits
HDLBits 在提供 Verilog 基础语法教程的同时,还能够在线仿真你的 Verilog 模块,将你的输出与正确的时序比较,可以说真的是很棒了。

HDLBits 讲解:

HDLBits 有一系列的 Verilog 基础知识,从最简单的 wire 的概念开始,包括了 Verilog 的基础语法,由逻辑门与触发器组成的电路,组合时序电路的概念,模块层级概念,testbench 的编写等等,由浅入深非常有利于锻炼对代码的熟练程度。

FPGA | Verilog学习的各大修炼圣地(推荐篇)_第1张图片

测试案例:

FPGA | Verilog学习的各大修炼圣地(推荐篇)_第2张图片

module top_module ( 
    input p1a, p1b, p1c, p1d, p1e, p1f,
    output p1y,
    input p2a, p2b, p2c, p2d,
    output p2y );

    wire and_1 , and_2 , and_3 , and_4;
    assign and_1 = p1a && p1b && p1c;
    assign and_2 = p1d && p1e && p1f;
    assign and_3 = p2a && p2b;
    assign and_4 = p2c && p2d;
    assign p1y = and_1 || and_2;
    assign p2y = and_3 || and_4;

endmodule

运行结果:

FPGA | Verilog学习的各大修炼圣地(推荐篇)_第3张图片

 

牛客网:

为刚接触Verilog或者想要深入了解学习的同学提供一个可靠的刷题方案!但不知道从何开始?入门级的题目帮助牛油们对基本的知识点有个初步的概念。题单共24题,通过难度分级,基础语法、组合逻辑、时序逻辑三大版块的知识点涵盖,让牛油们对不同知识点做到心里有数,明确考察方向以及自己需要努力的方向。在讨论区还可以和牛油们进行分享交流。

FPGA | Verilog学习的各大修炼圣地(推荐篇)_第4张图片

 

菜鸟教程:

从基础讲解开始,讲解知识点清晰,代码测试较完全,非常适合新手入门。Verilog 具有很强的电路描述与建模能力,能从多个层次对数字系统进行描述和建模。因此,在简化硬件设计任务、提高设计效率与可靠性、语言易读性、层次化和结构化设计等方面展现了强大的生命力与潜力。

FPGA | Verilog学习的各大修炼圣地(推荐篇)_第5张图片

 

欢迎大家积极讨论,可以在评论区分享更多宝藏网址,大家共同进步!

你可能感兴趣的:(FPGA学习指南,嵌入式硬件,单片机)