初识Multisim和Basys3(数字电子技术实验报告)

一、实验目的

1.安装Multisim软件及其与Basys3连接的软件
2.学会在Multisim中进行数字电路仿真
3.验证逻辑门电路的逻辑功能
4.学会将电路下载到Basys3硬件中查看运行结果

二、实验仪器设备

1.Basys3
2.MSO5104示波器

三、实验设计过程

(使用Multisim为Basys3板卡创建一个PLD设计并进行验证)
1.创建PLD设计,选中SW0、SW1、JA0为输入,LED0、LED1、JB0、JB1为输出
2.在设计中添加逻辑门
(1)基本要求:AND2双输入门以及OR2双输入或门
(2)进阶要求:NAND2双输入与非门以及NOR2双输入或非门
3.完成PLD设计连线(左下为基本要求,右下为进阶要求)

初识Multisim和Basys3(数字电子技术实验报告)_第1张图片

4.将设计的电路导出到FPGA中
选择Xilinx Vivado 作为下载工具,在Programming File 中选择下载文件的存储路径,PLD part number 保持默认,将Digilent Basys3 通过USB数据线接口连接至电脑,并打开Basys3电源开关点击Device下的Refresh,最后点击Finish
5.在Basys3上进行实验,使用信号发生器产生3V,10Hz方波作为JA0的输入信号,通过控制逻辑门另一端的输入,观察LED灯的变化,同时通过示波器观察不同情况下的输出波形

四、思考题

1.基本要求:使用信号发生器产生3V,10Hz方波作为JA0的输入信号,开关SW0作为AND2两输入与门的一个输入端,JB0、LED0作为与门的输出,SW1作为OR2两输入或门的一个输入端,JB1、LED1作为该或门的输出,对该电路进行测试,完成以下记录表:

初识Multisim和Basys3(数字电子技术实验报告)_第2张图片

描述输出②输出波形——
(1)逻辑与门波形为下图黄线所示

初识Multisim和Basys3(数字电子技术实验报告)_第3张图片

(2)逻辑或门波形为下图蓝线所示

初识Multisim和Basys3(数字电子技术实验报告)_第4张图片

2.进阶要求:使用信号发生器产生3V,10Hz方波作为JA0的输入信号,开关SW0作为NAND2两输入与非门的一个输入端,JB0、LED0作为与非门的输出,SW1作为NOR2两输入或非门的一个输入端,JB1、LED1作为该或非门的输出,对该电路进行测试,完成以下记录表:

初识Multisim和Basys3(数字电子技术实验报告)_第5张图片

输出描述②输出波形——
(1)逻辑与非门波形如下图黄线所示

初识Multisim和Basys3(数字电子技术实验报告)_第6张图片

(2)逻辑或非门波形如下图蓝线所示

初识Multisim和Basys3(数字电子技术实验报告)_第7张图片

五、实验仿真(非实验要求部分)

(1)PLD设计连接图
初识Multisim和Basys3(数字电子技术实验报告)_第8张图片

(2)实验结果输出描述
①指示灯:

初识Multisim和Basys3(数字电子技术实验报告)_第9张图片

②波形图:(第一张为in2=0,第二张为in2=1)
初识Multisim和Basys3(数字电子技术实验报告)_第10张图片
初识Multisim和Basys3(数字电子技术实验报告)_第11张图片

你可能感兴趣的:(实验报告分享,自动化,数字电子技术,数电,Multisim,basys3)