verilog正弦电压PWM波产生

使用verilog语言,在quartusII平台下运行并在modelsim下进行仿真测试。
本设计的思想: 顶层模块共分下面四个模块,包括分频器,ROM配置,地址产生,ROM数据读取产生sin电压
通过读取ROM中保存的sin正弦信号,来产生正弦电压,通过调节占空比的方式产生PWM波

下面是本设计的工程图和仿真图:

verilog正弦电压PWM波产生_第1张图片

verilog正弦电压PWM波产生_第2张图片


//顶层模块
//思想: 顶层模块共分下面四个模块,包括分频器,ROM配置,地址产生,ROM数据读取产生sin电压
//通过读取ROM中保存的sin正弦信号,来产生正弦电压,通过调节占空比的方式产生PWM波
module sin2(clk,rst_n,sin_a,sin_b,

你可能感兴趣的:(D1:verilog设计,fpga开发,硬件工程,单片机)