Lattice FPGA 开发工具Diamond使用流程总结——工具使用

使用流程

这里介绍了工程建立、文件输入、ip核配置、管脚配置、综合及布线以及下载程序。

1.工程打开

打开工程:打开Diamond软件后,可以打开一个已建好的工程或者在最近工程中打开,如下图所示

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第1张图片

2.新建工程

如下,由于软件不会自动给工程中文件分类,可以在创建工程文件夹时对文件归类。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第2张图片

如下,点击File>new>project,出现如下窗口,点击next

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第3张图片

如下,输入工程名、工程文件目录;Implementation 名可以和工程名一致,其目录会自动生成。填好后点击next

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第4张图片

如下,可以将已经编辑好的源文件添加进工程,也可以在创建完工程后再编辑输入源文件

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第5张图片

如下,选择芯片型号,在Part Names条框中选择相应型号,其他框格作用是辅助查找。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第6张图片

 如下,点击next,选择综合工具。LSE是lattice自带的综合工具,是默认选项;Pro是第三方Synplify工具,其也是内嵌在Diamond开发环境中

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第7张图片

 如下,创建完成后,给出工程参数汇总,确认无误后点击finish,完成工程创建。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第8张图片

 

3.文件输入

如下,打开工程,进入开始界面,红色圈中显示了各类文件,设计源文件、约束文件、debug文件、配置文件等。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第9张图片

1)输入源文件:右击input files>add>new/existing files弹出如下窗口添加源文件

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第10张图片 

如下,在编辑框中完成源文件的输入

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第11张图片

 2)添加IP核文件

如下,对于ECP5芯片,IP核的使用工具在Diamond中为clarity designer 工具,此工具可以类比于vivado 的IP integrator,既可以例化单个IP模块,也可以连接多个IP生成集成块使用。点击Tools>clarity designer,或者直接点击下图所示圈出的图标。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第12张图片

如下,点击后会弹出clarity designer 窗口如下,三个选项,第一个选项可以创建一个IP“集成块”,第二个选项是打开已有的设计,第三个选项是例化单个IP。这里先选择第三个,进行例化pll。然后点击start。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第13张图片

 如下,点击Start后,弹出如下窗口,双击需要的IP双击

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第14张图片

 如下,输入相应路径核名称,点击customize后出现配置窗口,配置完成会提示是否将所创建的文件添加到设计中去,点击OK。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第15张图片

 如下,接着在Input Files栏出现所创建的IP文件,双击此IP文件也可以进行IP参数的配置。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第16张图片

 接着就可以在Hierarchy窗口中看到所创建的IP文件,右击此IP>goto source definition 可以到达ip核的源文件,例化此IP即可。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第17张图片

3)输入约束文件.

右击Synthesis Constrain Files>new/existing files ,弹出如下窗口,LDC Files 对应着LSE的约束文件,SDC Files 则对应着Synplify的约束文件

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第18张图片

 如下,新建LDC文件完成后,弹出约束文件编辑窗口如下所示,窗口下端是各类约束子窗口。选择Clocks,在相应框格中下拉选择或输入约束的时钟名以及数值。如果的SDC文件则需要添加相应约束语句。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第19张图片

 

4、生成bit文件并下载

如下,完成相应文件输入后,打开Process窗口,进行综合。由于在工程建立中选择勒 LSE工具所以此时只显示了此工具。如果选择了synplify工具则综合后还需点击Translate Design 进行转换。综合成功后会显示绿色对勾,然后进行管脚配置。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第20张图片Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第21张图片

 如下,点击Tools > spreadsheet view 打开引脚配置窗口进行引脚配置,完成后保存。

 Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第22张图片

 如下,然后依次进行map design >place&rute design > export files  直到流程完成无误。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第23张图片

 如下,接着进行程序烧写,点击Tools> programmer 弹出如下窗口,点击 创建新的JTAG>OK,接着自动进行器件的扫描识别。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第24张图片

 扫描成功后会给相应器件标黄,点击红色圈中的下载按钮进行烧写。

Lattice FPGA 开发工具Diamond使用流程总结——工具使用_第25张图片

 

 

 

 

 

 

 

你可能感兴趣的:(fpga开发,verilog,fpga,嵌入式硬件)