手把手教你设计字长8位的简单CPU-Verilog实现

文章目录

  • 一、设计要求
    • 1.1 设计内容
    • 1.2 设计要求
  • 二、设计过程
    • 2.1 指令系统设计
    • 2.2 数据通路的设计
    • 2.3 ALU的设计
    • 2.4 控制器的设计
  • 三、实验结果
    • 3.1 指令仿真测试
    • 3.2 程序仿真测试
    • 3.3 上板测试
  • 四、结论分析
    • 4.1 所遇到的问题与解决思路

首先声明,所实现的8字长简单CPU所支持的指令不多,结构也很简单,只是演示讲解下如何根据任务书设计一个属于自己的CPU,不喜勿喷,谢谢。

转载请注明出处
作者:雪天鱼
更多博客、资料和业务承接发布在我的个人网站上,欢迎交流学习。

一、设计要求

此设计要求来源于一个课程设计任务书。

1.1 设计内容

设计实现一个字长8位的CPU,所设计的系统能调试通过,进行仿真测试后在FPGA开发板上运行一段程序,通过检查程序结果的正确性来判断所设计计算机系统的正确性。

CPU架构

  • 寄存器只有 AC 、R两个
  • 指令长度是 8bit

1.2 设计要求

(1)自行设计指令格式

表1 所实现的指令集
序号 指令 功能
0 NOP 无操作
1 LDAC AC <— M[T]
2 STAC M[T] <— AC
3 MOVAC R <— AC
4 MOVR AC <— R
5 JUMP GOTO
6 JMPZ IF(Z=1) GOTO T
7 JPNZ IF(Z=0) GOTO T
8 ADD AC <— AC+R
9 SUB AC <— AC-R
10 INAC AC<— AC+1
11 CLAC AC <— 0
12 AND AC <— AC^R
13 OR AC <— AC or R
14 XOR AC <— AC xor R
15 NOT AC <— ~AC

注:T是16位地址,M是数据存储器。
上述指令中包含:

单字指令:

  • 寄存器-寄存器传送指令
  • 算术运算指令
  • 逻辑运算指令
  • 清零指令

三字指令:

  • 寄存器与存储器之间的数据传送
  • 无条件转移指令
  • 条件转移指令

(2)自行设计数据通路
(3)能运行由自己所设计的指令系统构成的一段程序,程序执行功能正确。
(4)仿真测试后,综合下载到FPGA开发板上测试。
(5)在FPGA开发板上测试程序时,可单步执行,应能通过数码管适时显示信息。

首先进行指令的系统的设计。

二、设计过程

2.1 指令系统设计

(1)参考mips的指令类型,先将要实现的指令进行分类:

表2 指令分类
类型 指令
RR类型(寄存器-寄存器) MOVR、MOVAC、ADD、SUB、INAC、CLAC、AND、OR、XOR、NOT(单字指令)
RS类型(寄存器-存储器) LDAC(装入字)、STAC(存储字)(三字指令)
J类型 JUMP、JMPZ、JPNZ(三字指令)
O类型(其他指令) NOP(单字指令)

由于要求设计的CPU的通用寄存器就两个,一个AC(目的寄存器),一个是R(源寄存器),所以RR类型指令都不需要rd,rs字段来指定对应寄存器,直接根据指令操作码就可以完成对应指令功能,所以为单字指令;而分类到RS类型和J类型的指令则需要提供16位地址才能完成对应功能,所以为三字指令。

(2)字长为8bit,一共16条指令,故可设计指令格式为:

表3 自行设计的指令系统
序号 指令 格式 功能
0 NOP 00_0000_00 空操作
1 MOVAC 01_0000_00 R <— AC
2 MOVR 01_0001_10 AC <— R
3 ADD 01_0010_10 AC <— AC + R
4 SUB 01_0011_10 AC <— AC - R
5 INAC 01_0100_10 AC <— AC + 1
6 CLAC 01_0101_10 AC <— 0
7 AND 01_0110_10 AC <— AC and R
8 OR 01_0111_10 AC <— AC or R
9 XOR 01_1000_10 AC <— AC xor R
10 NOT 01_1001_10 AC <— ~AC
11 LDAC 10_0000_10 T AC <— M[T]
12 STAC 10_0001_00 T M[T] <— AC
13 JUMP 11_0000_00 T GOTO T
14 JMPZ 11_0001_00 T IF(Z=1) GOTO T
15 JPNZ 11_0010_00 T IF(Z=0) GOTO T

即将16条指令分类为上述四类,通过指令的高两位指示。其中0 ~ 10为单字指令,11~15为三字指令,T为16位地址。
(3)将指令信号名设置为instr,位宽为8bit

  • instr[7:6]=00时,为其他指令,字段为
type(instr[7:6]) op(instr[5:2]) reserve(instr[1:0])
  • instr[7:6]=01时,为RR类型指令,字段为
type(instr[7:6]) op(instr[5:2]) rd(instr[1]) reserve(instr[0])

type字段指示指令类型
op即为操作码,指示具体指令;
rd指示目的寄存器为哪个, 自定义rd=1时为AC;rd=0时为R
instr[0]为保留位,默认为0

  • instr[7:6]=10时,为RS类型指令(三字指令),字段为
type(instr[7:6]) op(instr[5:2]) dir(instr[1]) reserve(instr[0]) T(16bit)

dir指示数据传输方向,自定义dir=1时数据从M[T]传输到AC;dir=0时数据从AC传输到M[T]

  • instr[7:6]=11时,为J类型指令(三字指令),字段为
type(instr[7:6]) op(instr[5:2]) reserve(instr[1:0]) T(16bit)

2.2 数据通路的设计

由于有些RR指令的目的寄存器和操作数所用的寄存器一致并且存在三字指令,所以,打算搭建的是多周期CPU,其中单字指令单时钟周期执行完毕,而三字指令需要三时钟周期才能执行完毕。由2.2指令系统设计可知,需要执行的指令集,存在R、I、J、O四种类型的指令,需要的组件有:
(1)基础组件:NPC、PC、指令存储器IM、寄存器文件RF(即AC和R)、运算器ALU、数据存储器DM、控制单元Control unit
(2)添加组件:

  • 16位的地址寄存器AR,用来存T
    (3)自定义
  • 规定PC为16bit,最小存储单元为1字节,即8bit;顺序执行的情况下,PC每周期加1
    这里偷懒,用Vivado编译后的原理图当做数据通路示意图。
    数据通路图如下图所示:
    手把手教你设计字长8位的简单CPU-Verilog实现_第1张图片
(a)CPU顶层数据通路

手把手教你设计字长8位的简单CPU-Verilog实现_第2张图片

(b)cpu core内部数据通路
图1 CPU数据通路

(1)取指(Fetch):
PC的输出信号输入到指令存储器地址端,取出指令instr
(2)译码(Decode):
指令instr输入到控制器CTRL和地址寄存器AR,在控制器中译码,输出控制信号到NPC、ALU、AR、RF和DM
(3)执行(Execute):
ALU从AC和R中读出数据,根据对应控制信号进行相应的计算,并输出计算结果和标志信号
(4)存储器(Memory)
RS类型的指令需要读写存储器
(5)写回(Writeback):
RR类型的指令会将数据写回寄存器文件,即写入AC或者R

2.3 ALU的设计

编译后的原理图如下图所示:
手把手教你设计字长8位的简单CPU-Verilog实现_第3张图片

(a)ALU模块输入输出信号

手把手教你设计字长8位的简单CPU-Verilog实现_第4张图片

(b)ALU内部原理图
图2 ALU设计
ALU根据输入的控制信号ALUOp对操作数AC和R进行对应的操作,如指令ADD,就是执行加法,指令SUB就是执行减法,并且输出标志信号zero,用于判断AC是否为0。

2.4 控制器的设计

控制器为硬布线控制器,输出的控制信号如表所示:

表4 控制信号概览
信号名 作用
T 三字指令标志
RegDst 选择RF数据写入的寄存器
RegWrite 寄存器文件RF写使能
RegData RF写入数据来源选择
MemWrite 数据存储器Mem写使能
ALUOp 运算器ALU控制信号
NPCOp Next PC计算控制信号
表5 控制器译码器真值表
指令 T RegDst RegWrite RegData MemWrite ALUOp NPCOp
NOP 0 x 0 x 0 0000 00
MOVAC 0 0 1 1 0 0001 00
MOVR 0 1 1 1 0 0010 00
ADD 0 1 1 1 0 0011 00
SUB 0 1 1 1 0 0100 00
INAC 0 1 1 1 0 0101 00
CLAC 0 1 1 1 0 0110 00
AND 0 1 1 1 0 0111 00
OR 0 1 1 1 0 1000 00
XOR 0 1 1 1 0 1001 00
NOT 0 1 1 1 0 1010 00
LDAC 1 1 1 0 0 1111 00
STAC 1 x 0 x 1 1111 00
JUMP 1 x 0 x 0 1111 01
JMPZ 1 x 0 x 0 1111 01
JPNZ 1 x 0 x 0 1111 01

注:x表示任意值均可(此时该控制信号无效)
编译后的原理图如下图所示:
手把手教你设计字长8位的简单CPU-Verilog实现_第5张图片

(a)控制器输入输出信号

手把手教你设计字长8位的简单CPU-Verilog实现_第6张图片

(b)控制器内部原理图
图3 控制器设计
控制器为硬布线控制器,根据输入的8位指令进行译码,并输出控制信号。

这里我用Verilog实现了此字长8位的简单CPU。
下面进行仿真测试

三、实验结果

3.1 指令仿真测试

(1)测试RR类型指令:

  • 测试MOVAC,机器码为40
    此条指令实现的功能是R<-AC,即将寄存器AC中的值放置到寄存器R中。为测试此条指令,设置AC寄存器初始值为2,R寄存器初始值为5。指令执行完毕后,R寄存器为2。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第7张图片
图4 MOVAC仿真结果图

可以看到寄存器R的值在执行完MOVAC指令后变为AC存储值2,说明指令执行没问题。

  • 测试MOVR,机器码为46
    此条指令实现的功能是ACR,即将寄存器R中的值放置到寄存器AC中。为测试此条指令,设置AC寄存器初始值为2,R寄存器初始值为5。指令执行完毕后,AC寄存器为5。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第8张图片
图5 MOVR仿真结果图

可以看到寄存器AC的值在执行完MOVR指令后,AC存储值变为5,说明指令执行没问题。

  • 测试ADD,机器码为4A
    此条指令实现的功能是AC<-AC+R,即将寄存器AC和R中的值相加后放置到寄存器AC中。为测试此条指令,设置AC寄存器初始值为2,R寄存器初始值为5。指令执行完毕后,AC寄存器为7。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第9张图片
图6 ADD仿真结果图

可以看到寄存器AC的值在执行完ADD指令后,AC存储值变为7,说明指令执行没问题。

  • 测试SUB,机器码为4E
    此条指令实现的功能是AC<-AC-R,即将寄存器AC和R中的值相减后放置到寄存器AC中。为测试此条指令,设置AC寄存器初始值为2,R寄存器初始值为5。指令执行完毕后,AC寄存器为-3。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第10张图片
图7 SUB仿真结果图
可以看到寄存器AC的值在执行完SUB指令后,AC存储值变为-3,说明指令执行没问题。
  • 测试INAC,机器码为52
    此条指令实现的功能是AC <- AC+1,即将寄存器AC加1后放置到寄存器AC中。为测试此条指令,设置AC寄存器初始值为2,R寄存器初始值为5。指令执行完毕后,AC寄存器为3。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第11张图片
图8 INAC仿真结果图

可以看到寄存器AC的值在执行完INAC指令后,AC存储值变为3,说明指令执行没问题。

  • 测试CLAC,机器码为56
    此条指令实现的功能是AC0,即将寄存器AC清零。为测试此条指令,设置AC寄存器初始值为2,R寄存器初始值为5。指令执行完毕后,AC寄存器为0。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第12张图片
图9 CLAC仿真结果图

可以看到寄存器AC的值在执行完CLAC指令后,AC存储值变为0,说明指令执行没问题。

  • 测试AND,机器码为5A
    此条指令实现的功能是AC <- AC and R,即将寄存器AC与R的存储值进行与操作。为测试此条指令,设置AC寄存器初始值为2(00000010),R寄存器初始值为5(00000101)。指令执行完毕后,AC寄存器为0(00000000)。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第13张图片
图10 AND仿真结果图

可以看到寄存器AC的值在执行完AND指令后,AC存储值变为0,说明指令执行没问题。

  • 测试OR,机器码为5E
    此条指令实现的功能是ACAC or R,即将寄存器AC与R的存储值进行或操作。为测试此条指令,设置AC寄存器初始值为2(00000010),R寄存器初始值为5(00000101)。指令执行完毕后,AC寄存器为7(00000111)。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第14张图片
图11 OR仿真结果图

可以看到寄存器AC的值在执行完OR指令后,AC存储值变为7,说明指令执行没问题。

  • 测试XOR,机器码为62
    此条指令实现的功能是ACAC xor R,即将寄存器AC与R的存储值进行异或操作。为测试此条指令,设置AC寄存器初始值为2(00000010),R寄存器初始值为5(00000101)。指令执行完毕后,AC寄存器为7(00000111)。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第15张图片
图12 XOR仿真结果图
可以看到寄存器AC的值在执行完XOR指令后,AC存储值变为7,说明指令执行没问题。
  • 测试NOT,机器码为66
    此条指令实现的功能是AC~AC,即将寄存器AC的存储值进行取反操作。为测试此条指令,设置AC寄存器初始值为2(00000010),R寄存器初始值为5(00000101)。指令执行完毕后,AC寄存器为-3(11111101)。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第16张图片
图13 NOT仿真结果图

可以看到寄存器AC的值在执行完NOT指令后,AC存储值变为-3,说明指令执行没问题。

(2)测试RS类型指令

  • 测试LDAC,机器码为82 16’h0002
    此条指令实现的功能是ACM[T],即将存储器M的地址为T的存储单元中的值放置到AC中。为测试此条指令,设置AC寄存器初始值为2(00000010),R寄存器初始值为5(00000101),存储器地址0127的单元值分别为0127。指令执行完毕后,AC寄存器为2。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第17张图片
图14 LDAC仿真结果图

可以看到寄存器AC的值在执行完LDAC指令后,AC存储值变为2,且三个时钟周期执行完毕,说明指令执行没问题。

  • 测试STAC,机器码为84 16’h0004
    此条指令实现的功能是M[T] <- AC,即将AC的值放置到存储器M的地址为T的存储单元中中。为测试此条指令,设置AC寄存器初始值为2(00000010),R寄存器初始值为5(00000101),存储器地址0~127的单元值分别为0 ~127。指令执行完毕后,M[4]存储单元值为2。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第18张图片
图15 STAC仿真结果图

可以看到在执行完STAC指令后,M[4]存储单元值变为2,且三个时钟周期执行完毕,说明指令执行没问题。
(3)测试J类型指令

  • 测试JUMP,机器码为C0 16’h0006
    此条指令实现的功能是GOTO T,即将直接跳转到地址为T的指令去继续执行。为测试此条指令,设置指令寄存器,存储8字,为C0,00,06,01,02,03,04,05。指令执行完毕后,instr为04。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第19张图片
图16 JUMP仿真结果图

可以看到在执行完JUMP指令后,指令instr变为04,且三个时钟周期执行完毕,说明指令执行没问题。

  • 测试JPNZ,机器码为C8 16’h0006
    此条指令实现的功能是IF(Z=0)GOTO T,即当AC不等于0时将直接跳转到地址为T的指令去继续执行。为测试此条指令,设置指令寄存器,存储8字,为C8,00,06,01,02,03,04,05。指令执行完毕后,instr为04。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第20张图片
图17 JPNZ仿真结果图

可以看到在执行完JPNZ指令后,指令instr变为04,且三个时钟周期执行完毕,说明指令执行没问题。

  • 测试JMPZ,机器码为C4 16’h0006
    此条指令实现的功能是IF(Z=1)GOTO T,即当AC等于0时将直接跳转到地址为T的指令去继续执行。为测试此条指令,设置指令寄存器,存储8字,为C4,00,06,01,02,03,04,05,AC初始值为0。指令执行完毕后,instr为04。仿真结果图如下:
    手把手教你设计字长8位的简单CPU-Verilog实现_第21张图片
图18 JMPZ仿真结果图

可以看到在执行完JMPZ指令后,指令instr变为04,且三个时钟周期执行完毕,说明指令执行没问题。

3.2 程序仿真测试

编写一个简易的程序同时进行多条指令的测试,如下所示:
AC初始值为2 ,R初始值为5 M[0]~M[127]初始值分别对应 0 ~127

表6 测试程序概览
地址 指令 机器码 结果
0 MOVAC 40 AC:2 R:2,其余不变
1 ADD 4A AC:4 R:2,其余不变
2 MOVR 46 AC:2 R:2, 其余不变
3 INAC 52 AC:3 R:2,其余不变
4 SUB 4E AC:1 R:2,其余不变
5 XOR 62 AC:3 R:2,其余不变
6 AND 5A AC:2 R:2,其余不变
7 NOP 00 AC:2 R:2,其余不变
8 NOT 66 AC:-3 R:2,其余不变
9 LDAC 16’h0004 82 0004 AC:4 R:2,其余不变
10 OR 5E AC:6 R:2,其余不变
11 STAC 16’h0002 84 0002 AC:6 R:2,M[2]=6
12 JUMP 16’h000E C0 000E 跳转到地址0E
13 JPNZ 16’h0010 C8 0010 跳转到地址10(程序结束)
14 CLAC 56 AC:0 R:2,其余不变
15 JMPZ 16’h000D C4 000D 跳转到地址0D

仿真测试波形图如下图所示:
手把手教你设计字长8位的简单CPU-Verilog实现_第22张图片

(a)

手把手教你设计字长8位的简单CPU-Verilog实现_第23张图片

(b)
图19 简易程序仿真测试图

可以看到AC值和R值变化与预期一致,且最终在ff地址处结束,说明程序执行正确无误。8位字长的简单CPU搭建完毕!

3.3 上板测试

开发板如图20所示:
手把手教你设计字长8位的简单CPU-Verilog实现_第24张图片

图20 上板所用开发板

首先需要设置好顶层模块的输入输出接口,即如何显示输入指令和显示运行结果。如下表:

表7 上板测试输入输出接口设置

即通过拨码开关输入指令,通过按键控制指令执行和复位,数码管和LED灯指示执行结果和执行状态。
CPU分为三个状态:IN状态,CHECK状态和RUN状态。IN状态为输入状态:从switch开关上输入指令并保存;CHECK状态为检查状态:检查输入的指令是否正确;RUN状态:依次执行之前输入的指令。

  • SW17=0,SW16=1,输入状态,指令通过SW7~SW0输入,按下KEY1,将输入指令写入IM中,写完PC自动+1;
  • SW17=1,SW16=0,检查输入状态,按下KEY0,PC复位为初始地址,按下KEY1,读出当前地址的指令,通过led 0-7显示机器码,读完PC自动+1,进行运行状态前,先按下KEY0,PC复位为初始地址。
  • SW17=1,SW16=1,运行状态,按下KEY1,依次执行所有输入的指令;
    注:状态切换按01->00->10->11顺序来。

四、结论分析

4.1 所遇到的问题与解决思路

(1)如何搭建数据通路?
首先遇到的问题就是搭建怎样的数据通路,要能满足任务书中所提到的要求。我的解决思路是通过查阅教材,查找执行不同类型指令所必须的组件,然后将它们组合到一起,设置控制信号,得到了最后所设计的数据通路。
(2)如何设计指令系统?
其次遇到的问题是怎么为指令编码,编码要有意义,这样才好扩展指令集,虽然现在就只是实现16条指令,但思路要按照可扩展的指令集来,培养个人能力。所以通过翻阅资料,参考mips的指令集,先对指令进行分类,再根据不同类型设置不同的字段,自行设计了一个简单指令系统。
(3)如何处理三字指令?
单字指令好处理,一个周期就可以执行完,但三字指令不行,需要添加一个地址寄存器,用来储存后面的二字,即地址,再通过控制信号T来指示三字指令。三字指令用三个时钟周期执行完毕。
(4)如何上板测试?
上板测试需要设置CPU有对应的输入和输出端口,能接收外部的信号输入,并输出对应的结果以便查看验证。通过研究板卡的资源设置和CPU构造,最终敲定了输入输出端口分别与板子上的什么硬件相连接。

你可能感兴趣的:(FPGA应用开发,fpga,cpu,verilog)