格雷码与二进制的转换

格雷码与二进制的转换

格雷码转二进制码的基本思路:

格雷码转二进制是从左边第二位起,将每位与左边一位二进制码的值异或,作为该位二进制码后的值(最左边一位依然不变)。
格雷码与二进制的转换_第1张图片
格雷码与二进制的转换_第2张图片

以其中一个为例:格雷码111->二进制101
第一步:最左边不变->1**。得出二进制第一位为1
第二步:从左往右.
第三步:异或->10*。1^1得出二进制第二位为0
第四步:将上一步的结果(二进制位)与格雷码异或->101。0^1得出二进制第三位为1

二进制码转格雷码的基本思路:

从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变。
格雷码与二进制的转换_第3张图片

以其中一个为例:二进制101->格雷码111
第一步:最左位不变->1**。得出格雷码第一位为1。
第二步:从右往左。
第三步:1^0=1。登出格雷码第三位为1。
第四步:0^1=1。得出格雷码第二位为1。

格雷码与二进制的转换_第4张图片

你可能感兴趣的:(FPGA,SystemVerilog,fpga开发)