Verdi之nTrace/nSchema

目录

3.nTrace介绍

 3.1 启动Verdi

 3.2查看Verdi中的设计结构

 3.3查看Verdi中的验证结构

 3.4 查找模块和trace信号

 3.5 查找string

 3.6 信号drive/load

 3.7 快速查看设计有哪些信号

4 nSchema

4.1 如何打开原理图

4.2 如何查找 nShema window中器件的源码

4.3 如何显示原理图中的各个信号/端口/模块名

4.4 如何在当前的层次中查找某个信号

4.5 如何找到某个信号的驱动

         4.6 如何产生 partial hierarchy schematic 

4.7 如何移动原理图中的器件?

3.nTrace介绍

3.1 启动Verdi

方法一:直接输入Verdi 启动,但是进入后又logo需要手动关闭;

方法二:输入verdi -nologo 直接打开;

方法三:可以通过Makefile 命令打开,比如在Makefile 的仿真阶段,接入命令 Verdi -ssf *.fsdb&

3.2查看Verdi中的设计结构

第一步:将设计文件导入Verdi;

第二步:在instance窗口会显示相应的设计层次,如下图:

Verdi之nTrace/nSchema_第1张图片

第三步:点击对应的层次,会弹出对应的设计源码;

Verdi的一个很重要的用处就是方便理解设计结果; 

3.3查看Verdi中的验证结构

同样,可以通过Verdi方便理解别人搭建的验证结构,验证的结构被展示在object中。

Verdi之nTrace/nSchema_第2张图片

 但是,验证结构必须要run之后才会展示,如下图:

Verdi之nTrace/nSchema_第3张图片

 这样就很清晰的看到在top顶层下边是env,env下边还有很多小的模块,是不是一目了然。

3.4 查找模块和trace信号

当遇到大型设计,模块较多的时候,掌握快速查找模块的方法变得至关重要,Verdi有快捷的查找方法,如下:

 当然,更推荐使用快捷键shift+A,

Verdi之nTrace/nSchema_第4张图片

 3.5 查找string

 和查找信号类似,字符串同样也可以被快速查找,

Verdi之nTrace/nSchema_第5张图片

其快捷键为/:

Verdi之nTrace/nSchema_第6张图片

 3.6 信号drive/load

当设计验证,需要追信号时,D/L是最常用的两种快捷操作,drive表示选中的信号被信号驱动,load表示选中的信号驱动何种信号,界面符号如下图:

Verdi之nTrace/nSchema_第7张图片

 3.7 快速查看设计有哪些信号

当设计有好多信号时,快速的信号分类有助于我们更快理解设计,如下图,首先打开signal list:

Verdi之nTrace/nSchema_第8张图片

Verdi之nTrace/nSchema_第9张图片

 当再次打开设计某一层,会将其对应的接口信号完全展示,另外,还可以根据自己需求进行分类展示。

4 nSchema

4.1 如何打开原理图

Verdi之nTrace/nSchema_第10张图片

 在Verdi界面选中设计文件,点击上边new schematic 弹出右边界面,为设计原理图。

4.2 如何查找 nShema window中器件的源码

在原理图上选中你要查找的器件,直接双击就会跳转到其对应的源码。

4.3 如何显示原理图中的各个信号/端口/模块名

Verdi之nTrace/nSchema_第11张图片

 点击nShema windon 上方菜单栏里边的view按钮,勾选你想要展示的项目即可。

4.4 如何在当前的层次中查找某个信号

Verdi之nTrace/nSchema_第12张图片

 首先点击nShema windon 上方菜单栏里边的schematic按钮,点击 auto fit found object,在点击find in current scop,会弹出以下查找框:

Verdi之nTrace/nSchema_第13张图片

 close之后要查找的信号会被mark出来。

4.5 如何找到某个信号的驱动

在原理图中可以通过以上方式快速找到你想找到的信号,如果想进一步找到时哪个信号对其进行驱动,可以使用fan-in code,具体操作如下:

Verdi之nTrace/nSchema_第14张图片

4.6 如何产生 partial hierarchy schematic 

Verdi之nTrace/nSchema_第15张图片

 选择需要查看的信号(可以通过shift键来选择多个信号) 在按照上边操作即可查看与选择特定信号有关的逻辑/模块

4.7 如何移动原理图中的器件?

Verdi之nTrace/nSchema_第16张图片

 按如上操作,可实现原理图器件的任意移位。

你可能感兴趣的:(VCS,验证语言,UVM,VCS,Verdi)