基于FPGA驱动ARINC429总线发送

  • 前言

前篇文章关于ARINC429的功能描述已经完成,链接如下:

ARINC429说明书_Eidolon_li的博客-CSDN博客

正式文章对于ARINC429的总线发送驱动说明,本文档只描述作者的思想以及仿真结果,不包括完整源码,请只需要源码的同学谨慎进入

  • 描述:

本项目非使用协议芯片,ARINC429驱动皆由FPGA完成,相较于协议芯片FPGA驱动的优点在于价格便宜、协议灵活不但兼容美标12.5K和100K的速率,同样支持俄标18977(最高支持250K速率)。

你可能感兴趣的:(FPGA,fpga开发)