ise使用ChipScope时报错NgdBuild:604

NgdBuild:604 - logical block 'chipscope_ila_inst' with type 'chipscope_ila' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'chipscope_ila' is not supported in target 'spartan6'.

原因:没有将 .ngc文件从ipcore_dir拷贝出来

ise使用ChipScope时报错NgdBuild:604_第1张图片

你可能感兴趣的:(fpga报错,fpga开发)