通过set_clock_groups命令约束时钟

一、未做约束的情况下编译时序比较差

通过set_clock_groups命令约束时钟_第1张图片

二、通过set_clock_groups命令对不需要分析的异步时钟进行处理

如上图所示的这种值变态大的,一般都是因为强行分析了两个没有关系的异步时钟,对于这种情况可以通过set_clock_groups指令

1,找到报红的时钟,右键

通过set_clock_groups命令约束时钟_第2张图片

2,在弹出来的 report timing界面点击“report timing”

3,这个界面会展示那两个时钟冲突导致报红

通过set_clock_groups命令约束时钟_第3张图片

4,这两个时钟,一个是dp-nios的驱动时钟,一个是dp的phy时钟,两者可以异步处理。则可以在dsc中增加如下指令不做分析

set_clock_groups -asynchronous 	-group [get_clocks {dp_mipi_pll_uut|iopll_0|outclk4}] \
						       -group [get_clocks {alpdp_1tx_top_u0|alpdp_phy_top_u0|gxb_tx_i|gxb_tx|g_xcvr_native_insts[0]|tx_coreclkin}]
						
注意:如果timing analyzer中的clocks中没有这个时钟,还需要用create_clock语句来约束一下该时钟

5,补充几个set_clock_groups常见用法 的例子

通过set_clock_groups命令约束时钟_第4张图片

三、调试过程中遇到的报错分析

Error(332000): invalid command name “-group”
while executing
“unknown_original -group _col348”
(“eval” body line 1)
invoked from within
“eval unknown_original $cmd $args”
(procedure “::unknown” line 7)
invoked from within
"-group [get_clocks {alpdp_1tx_top_u1|alpdp_1tx_nophy_u0|alpdp_link_top_u0|gxb_clk_pll_u0|iopll_0|outclk0}] "
(file “…/src/constrs/sys_top.sdc” line 110)
在这里插入图片描述
该报错是由于“ \ ”后面不能有空格

四、优化结果

对比之前时序好了很多
通过set_clock_groups命令约束时钟_第5张图片
通过set_clock_groups命令约束时钟_第6张图片

你可能感兴趣的:(fpga/cpld)