FPGA入门嵌入式块ram使用rom

嵌入式块ram使用为rom(只读存储器)

使用PC端的signaltap ii软件与FPGA内部搭建的片上逻辑分析仪连接,时刻查看FPGA内部的信号。使用Quartus II软件中提供的In-System Memory Content Editor工具在线更改ROM中的数据为正弦波,然后用signaltap 软件进行查看。

Rom_tb仿真模块(三角波)
`timescale 1ns/1ns
`define period_clk 20
module Rom_tb;
  reg [7:0]address;
  reg Clk;
  wire [7:0]q;
Rom Rom0(
	.address(address),
	.clock(Clk),
	.q(q)
	);

initial Clk = 1;
always #(`period_clk/2) Clk=~Clk;

integer i;

initial begin
  address = 0;
  #(`period_clk+2);
  for(i=0;i<255;i=i+1) begin
     #(`period_clk);
	  address = address + 2;
  end

    #(`period_clk*30);
    $stop;
end
endmodule 

FPGA入门嵌入式块ram使用rom_第1张图片

使用sine3e软件生成.mif文件仿真生成正弦波
FPGA入门嵌入式块ram使用rom_第2张图片
使用SignalTap ii Logic Analyzer可以查看FPGA中内部信号、端口或某一GPIO的值。
使用In_System Memory Content Editor工具可以更改查看rom中存储的值。
FPGA入门嵌入式块ram使用rom_第3张图片
FPGA入门嵌入式块ram使用rom_第4张图片

你可能感兴趣的:(FPGA,fpga,verilog)