20、vivado编译报错合集

1、普通IO引脚约束为时钟时报错,可在XDC引脚约束中添加一条语句

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets ZU15EG_0_CLK]

你可能感兴趣的:(vivado,硬件工程)