计算机组成原理指令存储器,《计算机组成原理》实验报告——指令存储、数据存储器...

资料简介

南通大学信息科学技术学院

《计算机组成实验》

实验报告

实验名称 存储器的设计与实现

班级 物联网工程 192

学生姓名 谢焘 学号 1930110689

指导教师 成耀

日 期 2021 年 6 月 9 日

实验 存储器的设计与实现

一、实验目的

1.熟悉 Vivado 软件的使用方法。

2.熟悉存储器的功能。

3.掌握自顶而下的硬件模块设计方法。

4.掌握电路仿真测试方法,掌握仿真激励文件的编写,掌握仿真输出的分析方法。

二、实验任务

1.利用 Verilog HDL 设计一个容量是 128B,字长是 32 位的 ROM,完成仿真测试。该 RAM 应满足

以下要求:

① 地址线:单向,用于传送地址,以便按地址访问存储单元。

② 数据线:单,用于将数据从存储矩阵读出。

2. 利用 Verilog HDL 设计一个容量是 128B,字长是 32 位的 RAM,完成仿真测试。该 RAM 应满足

以下要求:

① 地址线:单向,用于传送地址,以便按地址访问存储单元。

② 数据线:有两组单向的数据线,一组用于将数据存入存储矩阵,另一组用于从存储矩阵读出数

③ 读/写控制线:单向,分时发送读或写命令,要求保证读时不写,写时不读。

三、设计步骤

1.指令存储器 ROM

(1)实验电路原理及信号说明

你可能感兴趣的:(计算机组成原理指令存储器)