华为路由器:ACL介绍及配置实验

一、ACL介绍

ACL的定义

访问控制列表(Access Control Lists,ACL)是应用在路由器接口的指令列表。这些指令列表用来告诉路由器哪些数据包可以收、哪些数据包需要拒绝。至于数据包是被接收还是拒绝,可以由类似于源地址、目的地址、端口号等的特定指示条件来决定。

ACL作用

访问控制是网络安全防范和保护的主要策略,它的主要任务是保证网络资源不被非法使用和访问。它是保证网络安全最重要的核心策略之一。访问控制涉及的技术也比较广,包括入网访问控制、网络权限控制、目录级控制以及属性控制等多种手段。

应用设备—路由器

访问控制列表(Access Control Lists,ACL)是应用在路由器接口的指令列表。这些指令列表用来告诉路由器哪些数据包可以收、哪些数据包需要拒绝。至于数据包是被接收还是拒绝,可以由类似于源地址、目的地址、端口号等的特定指示条件来决定。

访问控制列表不但可以起到控制网络流量、流向的作用,而且在很大程度上起到保护网络设备、服务器的关键作用。作为外网进入企业内网的第一道关卡,路由器上的访问控制列表成为保护内网安全的有效手段。

ACL的工作原理

基本原理:ACL使用包过滤技术,在路由器上读取第三层及第四层包头中的信息如源地址、目的地址、源端口、目的端口等,根据预先定义好的规则对包进行过滤,从而达到访问控制的目的。

ACL的功能

功能:网络中的节点有资源节点和用户节点两大类,其中资源节点提供服务或数据,用户节点访问资源节点所提供的服务与数据。ACL的主要功能就是一方面保护资源节点,阻止非法用户对资源节点的访问,另一方面限制特定的用户节点所能具备的访问权限。

ACL的方向

ACL是一组规则的集合,它应用在路由器的某个接口,对路由器接口而言,ACL有两个方向。

  • 出:已经过路由器的处理,正离开路由器的数据包。
  • 入:已到达路由器接口的数据包,将被路由器处理,

ACL步长

  • 步长是指在交换机自动为ACL规则分配编号的时候,每个相邻规则编号之间的差值。例如,如果将步长设定为5,规则编号分配是按照0、5、10、15…这样的规律分配的。缺省情况下,步长为5。
  • 当步长改变后,ACL中的规则编号会自动重新排列。例如,原来规则编号为0、5、10、15,当通过命令把步长改为2后,则规则编号变成0、2、4、6。
  • 当使用命令将步长恢复为缺省值后,交换机将立刻按照缺省步长调整ACL规则的编号。例如:ACL 3001,步长为2,下面有4个规则,编号为0、2、4、6。如果此时使用命令将步长恢复为缺省值,则ACL规则编号变成0、5、10、15,步长为5。
  • 设置适当的步长,有助于用户对ACL的管理,如方便在规则之间插入新的规则。例如配置好了4个规则,规则编号为:0、5、10、15。此时如果用户希望能在第一条规则之后插入一条规则,则可以使用命令在0和5之间插入一条编号为1的规则。
  • 另外,在定义一条ACL规则的时候,用户可以不指定规则编号,这时,系统会从0开始,按照步长,自动为规则分配一个大于现有最大编号的最小编号。假设现有规则的最大编号是28,步长是5,那么系统分配给新定义的规则的编号将是30。

二、ACL分类

分类 编号范围 参数
基本ACL 2000~2999 源IP地址等
高级ACL 3000~3999 源IP地址,目的IP地址,源端口,目的端口,协议类型等
二层ACL 4000~4999 源MAC地址,目的MAC地址,以太帧协议类型等
用户ACL 6000~6031 源IP地址,目的IP地址,源端口,目的端口,协议类型等
  • 一个ACL可以由多条“deny | permit”语句组成,每一条语句描述了一条规则。
  • 设备收到数据流量后,会逐条匹配ACL规则,看其是否匹配。如果不匹配,则匹配下一条。一旦匹配,则执行规则中定义的动作,并不再继续与后续规则进行匹配。如果找不到匹配的规则,则设备不对报文进行任何处理。
  • 规则的匹配顺序决定了规则的优先级,ACL通过设置规则的优先级来处理规则之间重复或矛盾的情形。
  • ARG3系列路由器支持两种匹配顺序:配置顺序和自动排序。配置顺序按ACL规则编号(rule-id)从小到大的顺序进行匹配。通过设置步长,使规则之间留有一定的空间。默认步长是5。路由器匹配规则时默认采用配置顺序。自动排序使用“深度优先”的原则进行匹配,即根据规则的精确度排序。

通配符掩码:0 —表示匹配,1 —表示忽略,ACL 用于匹配流量默认隐含一条permit any, 用于匹配路由默认隐含一条deny any。

三、基本ACL的配置

过滤接口的位置

针对源地址,靠近目的端配置

华为路由器:ACL介绍及配置实验_第1张图片
例如:禁止192.168.2.0访问server1,位置一般在AR2的g0/0/1接口

基本ACL的语法

基本语法

[R1]acl ?
  INTEGER<2000-2999>  Basic access-list(add to current using rules)
  INTEGER<3000-3999>  Advanced access-list(add to current using rules)
  INTEGER<4000-4999>  Specify a L2 acl group
  ipv6                ACL IPv6 
  name                Specify a named ACL
  number              Specify a numbered ACL
[R1]acl 2000
[R1-acl-basic-2000]?
acl-basic interface view commands:
  arp-ping     ARP-ping
  backup       Backup  information
  clear        Clear
  description  Specify ACL description
  dialer       Dialer
  display      Display information
  mtrace       Trace route to multicast source
  ping         <Group> ping command group
  quit         Exit from current mode and enter prior mode
  reset        <Group> reset command group
  return       Enter the privileged mode
  rule         Specify an ACL rule
  step         Specify step of ACL sub rule ID
  test-aaa     Accounts test
  tracert      <Group> tracert command group
  undo         Negate a command or set its defaults
[R1-acl-basic-2000]ru	
[R1-acl-basic-2000]rule ?
  INTEGER<0-4294967294>  ID of ACL rule
  deny                   Specify matched packet deny
  permit                 Specify matched packet permit
  
#不允许源地址为192.168.1.0整段通过
[R1-acl-basic-2000]rule deny source 192.168.1.0 0.0.0.255   

#把上面这条应用到接口GigabitEthernet0/0/0
[R1-acl-basic-2000]int g0/0/0
[R1-GigabitEthernet0/0/0]traffic-filter  inbound acl 2000  

四、基本ACL实例演示

实验说明

说明:拓扑如下图,两个路由器之间已经加了静态路由,已经是全网互通状态。
要求:不允许192.168.1.1~192.168.1.100和PC3的通信
华为路由器:ACL介绍及配置实验_第2张图片

过滤的位置

选在如图红色箭头所指AR1的g0/0/0接口。像这种中间没有分支的拓扑,数据包越早丢弃越好。

分析通配符分析

要求的源地址是:192.168.1.1-192.168.1.100,这里不能设置范围所以必须用通配符把源地址范围过滤出来。这里我们利用了一个掩码计算器,简单实用。

先过滤掉192.168.1.0~63,箭头所指最好是连续的
华为路由器:ACL介绍及配置实验_第3张图片
再过滤掉192.168.1.64~95
华为路由器:ACL介绍及配置实验_第4张图片

再过滤掉192.168.1.96~100
华为路由器:ACL介绍及配置实验_第5张图片
根据上面的分析,ACL规则如下:

acl number 2000  
 rule 5 deny source 192.168.1.0 0.0.0.63 
 rule 10 deny source 192.168.1.64 0.0.0.31 
 rule 15 deny source 192.168.1.96 0.0.0.4

将规则应用到AR1的g0/0/0接口

int g0/0/0
traffic-filter  inbound acl 2000

你可能感兴趣的:(HCIE,华为,网络,acl)