UVM中UVM_ERROR到达一定数量后结束

UVM同样支持UVM_ERROR达到一定数量时结束仿真。对于某个测试用例,如果出现了大量的UVM_ERROR,根据这些错误已经可以确定bug所在了,再继续仿真下去意义已经不大,此时就可以结束仿真,而不必等到所有的objection被撤销。
实现这个功能的是set_report_max_quit_count函数,其调用方式为:

//base_test.sv
21 function void base_test::build_phase(uvm_phase phase);
22 super.build_phase(phase);
23 env = my_env::type_id::create("env", this);
24 set_report_max_quit_count(5);
25 endfunction

上述代码把退出阈值设置为5。当出现5个UVM_ERROR时,会自动退出,并显示如下的信息:

# --- UVM Report Summary ---
##
Quit count reached!
# Quit count : 5 of 5

在测试用例中的设置方式与base_test中类似。如果测试用例与base_test中同时设置了,则以测试用例中的设置为准。
此外,除了在build_phase之外,在其他phase设置也是可以的。
与set_max_quit_count相对应的是get_max_quit_count,可以用于查询当前的退出阈值。如果返回值为0则表示无论出现多少个
UVM_ERROR都不会退出仿真:
function int get_max_quit_count();
除了在代码中使用set_max_quit_count设置外,还可以在命令行中设置退出阈值:
+UVM_MAX_QUIT_COUNT=6,NO
其中第一个参数6表示退出阈值,而第二个参数NO表示此值是不可以被后面的设置语句重载,其值还可以是YES。

int sim_max_quit_count = 5;
if(!$value$plusargs("UVM_MAX_QUIT_COUNT=%d", sim_max_quit_count)) begin
  set_report_max_quit_count(sim_max_quit_count);
end

你可能感兴趣的:(UVM,UVM_ERROR)