【SystemVerilog】SV 数据操作及数制转换(atohex)

记录几个比较常用的数据/字符串操作函数

字符串函数:

  1. len()

function int len():

str.len()返回字符串的长度,也就是字符串中字符的数目(不包括任何终结字符)。

如果str是"",那么str.len()返回0

  1. putc()

task putc(int i, string s)

task putc(int i, byte c)

str.putc(i, c)将str中的第i个字符替换成指定的integral值;

str.putc(i, s)将str中的第i个字符替换成s中的第一个字符;

s可以是赋值为一个字符串的任何表达式;

putc不会改变str的尺寸,如果i < 0或i >= str.len(),那么str不会发生改变;

注意:str.putc(j, x)在语义上等价于str[j] = x。

  1. getc()

function int getc(int i)

str.getc(i)返回str中的第i个字符的ASCII码值;

如果i < 0或i >= str.len(),那么str.getc(i)返回0;

注意:x = str.getc(i)在语义上等价于x = str[j]。

  1. toupper()

function string toupper()

str.toupper()返回一个字符串并将str中的字符转换成大写形式;

str不会发生变化;

  1. tolower()

function string tolower()

str.tolower()返回一个字符串并将str中的字符转换成小写形式;

str不会发生变化;

  1. compare()

function int compare(string s)

str.compare (s)将str与s进行比较,就像ANSI C strcmp函数一样,并且包含嵌入的空字节

  1. icompare()

function int icompare(string s)

str.icompare(s)将str与s进行比较,就像ANSI C strcmp函数一样,但这种比较是大小写敏感的并且包含嵌入的空字节;

  1. substr(int i, int j)

function int substr(int i, int j)

str.substr (i, j)返回一个由str中位置i到位置j之间的字符组成的一个新的字符串;

如果i < 0, j < i, 或者j >= str.len(),那么substr()返回""(空字符串)

  1. atoi(), atohex(), atooct(), atobin()

function integer atoi()

function integer atohex()

function integer atooct()

function integer atobin()

str.atoi()返回一个str中由ASCII码字符表示的进制数。例如:

str = “123”;

int i = str.atoi(); // 将i赋值为123

在转换过程中会扫描所有的前几位阿拉伯数字以及下划线字符(_),只要遇到任何其它字符或到达字符串的结尾则停止扫描。它不会分析整数文本的完整语法(符号、尺寸、撇号、基)。

str.atohex()将字符串解释成十六进制数;

str.atooct()将字符串解释成八进制数;

str.atobin()将字符串解释成二进制数;

下面是我实际的一个应用例子:

【SystemVerilog】SV 数据操作及数制转换(atohex)_第1张图片
  1. atoreal()

function real atoreal()

str.atoreal()返回一个str中由ASCII码字符表示的实数。

转换过程会分析实数常量的Verilog语法。只要它遇到与这个语法不一致的任何字符,或到达字符串的尾部则停止扫描。如果没有遇到阿拉伯数字则返回0。

你可能感兴趣的:(SV,开发语言)