【Synopsys工具使用】2.Verdi的使用

文章目录

  • 用VCS生成波形文件并用Verdi打开
  • 生成fsdb文件
  • 使用Verdi查看逻辑原理图


用VCS生成波形文件并用Verdi打开

  编写Makefile文件:

all:find com     

find:
    find -name "*.v" > file.list
com:                                                                        
    vcs -full64 -sverilog -debug_all -fsdb -f file.list -l com.log
sim:
    simv -l sim.log  

run_dve:                            
    dve -full64 -vpd vcdplus.vpd & 

dve_v:
    dve &

clean:                              
    rm -rf *.vpd csrc *.log *.key *.vpd simv* DVE* verdiLog *.fsdb *.bak *.conf

verdi_v:
    find -name "*.fsdb" > fsdbfile.list
    verdi -f file.list –ssf fsdbfile.list -nologo &

生成fsdb文件

  在tb文件中加入以下代码:

 initial begin
            $fsdbDumpfile("uart_tb.fsdb");
            $fsdbDumpvars(0);
 end

  看模块有多少层,如果模块不大 $fsdbDumpvars(0);参数填0,如果层数很大,填写3

  Verdi无法自己产生波形,所以需要先用VCS生成,可以按照【Synopsys工具使用】1.VCS使用与Makefile脚本调用生成波形;
  只不过在产生fsdb文件后,VCS的中端显示信息如下:
【Synopsys工具使用】2.Verdi的使用_第1张图片  这表明生成波形后,波形数据被保存到fsdb文件中;
  在命令行中打入 make verdi_v 打开verdi
【Synopsys工具使用】2.Verdi的使用_第2张图片  选择simulation -> Invoke Simulator【Synopsys工具使用】2.Verdi的使用_第3张图片  创建一个新波形窗口
【Synopsys工具使用】2.Verdi的使用_第4张图片【Synopsys工具使用】2.Verdi的使用_第5张图片

  将信号拖入到窗口中
【Synopsys工具使用】2.Verdi的使用_第6张图片  输入时长,再点击波形按钮
【Synopsys工具使用】2.Verdi的使用_第7张图片  即可查看波形
【Synopsys工具使用】2.Verdi的使用_第8张图片

使用Verdi查看逻辑原理图

  右击选中需要查看的模块,然后选择New_Schematic【Synopsys工具使用】2.Verdi的使用_第9张图片【Synopsys工具使用】2.Verdi的使用_第10张图片

你可能感兴趣的:(Synopsys,硬件工程,硬件架构,linux,fpga开发,Synopsys)