关于uvm_subscriber

目录

summary:

Ports:

        analysis_export

Methods:

        new

        write


summary:

  • This class provides an analysis export for receiving transactions from a connected analysis export.  Making such a connection “subscribes” this component to any transactions emitted by the connected analysis port.
  • 这个类提供了一个analysis export,用于从连接的analysis export中接受transaction。建立这样的连接将使该组件subscriber通过连接的analysis port发出任何事务。

  • Subtypes of this class must define the write method to process the incoming transactions.  This class is particularly useful when designing a coverage collector that attaches to a monitor.
  • 该类的子类型必须定义write方法来处理传入的事务。在设计附加到monitor的覆盖率收集器时,这个类特别有用。

关于uvm_subscriber_第1张图片

Ports:

        analysis_export
  • This export provides access to the write method, which derived subscribers must implement.
  • 这个exports提供了对write方法的访问,派生的subscribers必须执行

Methods:

        new
function new(string name, uvm_component parent)

        write
pure virtual function void write( T t )

必须在每个子类中定义的纯虚方法,外部组件对该方法的访问应该通过analysis_export完成

你可能感兴趣的:(前端)