【Quartus | verilog 系列】实现 3-8译码器

实验一: 3-8译码器(原理图输⼊设计)

 2.1设计输⼊

    1. 将3-8译码器A、B、C端作为输⼊,Y作为输出。

    2. 其余引脚按照3-8译码器功能要求连接。

 2.2电路仿真

    1. 激励⽂件的输⼊包含A、B、C的8种状态

    2. 功能仿真

1. 给出3-8译码器的真值表:

【Quartus | verilog 系列】实现 3-8译码器_第1张图片

2. 实验步骤、实验内容截图(从创建⼯程开始到仿真结束)

1.路径设置

 

【Quartus | verilog 系列】实现 3-8译码器_第2张图片

2.器件选择

 

【Quartus | verilog 系列】实现 3-8译码器_第3张图片

3.汇总

 

【Quartus | verilog 系列】实现 3-8译码器_第4张图片

4.创建BDF

 

【Quartus | verilog 系列】实现 3-8译码器_第5张图片

5.原理图设计

 

【Quartus | verilog 系列】实现 3-8译码器_第6张图片

6.编译结果

 

【Quartus | verilog 系列】实现 3-8译码器_第7张图片

7.创建VWF进行功能仿真

 

【Quartus | verilog 系列】实现 3-8译码器_第8张图片

 

【Quartus | verilog 系列】实现 3-8译码器_第9张图片

波形图中CBA按照000 , 001 , 010 , 011 ....递增

你可能感兴趣的:(FPGA学习记录,fpga开发,硬件工程)