【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)

实验一: 3-8译码器(原理图输⼊设计)

实验⽬的

 1. 了解3-8译码器的电路原理掌握组合逻辑电路的设计⽅法

 2. 掌握QuartusII软件原理图输⼊设计的流程

实验内容

 2.1设计输

    1. 3-8译码器ABC端作为输⼊,Y作为输出

    2. 其余引脚按照3-8译码器功能要求连接

 2.2电路仿真

    1. 激励⽂件的输⼊包含ABC8种状态

    2. 功能仿真

实验报告

1. 给出3-8译码器的真值表:

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第1张图片

 

2. 实验步骤实验内容截图从创建⼯程开始到仿真结束

1.路径设置

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第2张图片

 

2.器件选择

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第3张图片

3.汇总

 【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第4张图片

 

4.创建BDF

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第5张图片

 

5.原理图设计

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第6张图片

 

6.编译结果

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第7张图片

 

7.创建VWF进行功能仿真

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第8张图片

【FPGA & Verilog】使用教程 3-8译码器(原理图输⼊设计)_第9张图片波形图中CBA按照000 ,

001 , 010 , 011 ....递增,大功告成

 

你可能感兴趣的:(FPGA学习记录,fpga开发)