Quartus II文件编译下载和USB-Blaster驱动安装

第二次FPGA记录,Quartus II软件的文件编译步骤

这一次我们要来进行代码文件编写和编译。
首先建立一个verilog HDL file,单击File->New:
Quartus II文件编译下载和USB-Blaster驱动安装_第1张图片
如上图所示,选择Verilog HDL File,当然了如果使用VHDL语言呢,就选择VHDL file 辣。
选择之后就会出现一个空白界面,在这里编写我们的代码即可:
Quartus II文件编译下载和USB-Blaster驱动安装_第2张图片
这个时候我就不得不吐槽quartus II 了,和ISE比起来,它新建的文件完全是空白的,也就是说文件的前缀完全需要自己编写,而ISE则是自动把格式给我们写好了,如下图,就是ISE新建代码文件的界面:
Quartus II文件编译下载和USB-Blaster驱动安装_第3张图片
前缀最好不要省略,从一开始就要养成写代码的良好习惯,这样在以后的工作中可以提高很多效率,方便我们自己查阅修改。
编写代码的过程我就先省略了,具体的语法规则要大家自己去学习相应的语言辣。下面我就贴出我写的代码吧,一个LED流水灯的简单程序。(大家有没有发现LED真的是所有板子入门程序的标配:|))
Quartus II文件编译下载和USB-Blaster驱动安装_第4张图片
Quartus II文件编译下载和USB-Blaster驱动安装_第5张图片
然后进行编译,点击Start Analysis & Synthesis,有的小伙伴quartus II版本不同,可能图标会有差异,不过文字描述就是Start Analysis & Synthesis:
Quartus II文件编译下载和USB-Blaster驱动安装_第6张图片
编译之后如果有错,则会出现错误提示,比如我在之前的代码最后随便输入一个数字,编译:
Quartus II文件编译下载和USB-Blaster驱动安装_第7张图片
点击OK,然后选择Flow Messages,就可以看到具体的错误,跟着提示把错误修改即可:
Quartus II文件编译下载和USB-Blaster驱动安装_第8张图片
根据提示,我们把LED.V的71行“111”删去,再次编译:
Quartus II文件编译下载和USB-Blaster驱动安装_第9张图片
就成功辣,然后我们就要进行FPGA板上的下载,来验证运行我们的LED流水灯程序。
下载之前,要对程序中用到的输入输出端口进行管脚约束,我们可以选择编写管脚约束文件,或者直接图形化分配,这里演示图形化分配,点击pin planner:
Quartus II文件编译下载和USB-Blaster驱动安装_第10张图片
将点击对应管脚不放,拖到开发板相应的管脚处即可:
Quartus II文件编译下载和USB-Blaster驱动安装_第11张图片
然后再进行下载。下载时,点击programmer,出现如下对话框:
Quartus II文件编译下载和USB-Blaster驱动安装_第12张图片

Quartus II文件编译下载和USB-Blaster驱动安装_第13张图片
这里要注意有两种下载,一种是JTAG,这是一种掉电不保护的下载方式,也就是说下载之后,我们的程序运行,但如果关闭板子再次打开,这个程序就被自动擦除了,如果要掉电保护,则选择AS下载,这种下载方式是将程序下载到了板子上集成的存储器上,因此可以实现掉电保护。我在这里演示JTAG的方式哦(如果是AS,则在mode处选择Active Serial Programmer)。
首先点击Hardware setup 选择我们的接口,这个时候要给大家插播一个步骤辣,USB-Blaster驱动的安装,必须要安装这个驱动,才可以把程序下入到板子中。
安装USB-Blaster驱动首先我们需要一个USB-Blaster,一般某宝的商家买板子时会配一个,没有的话小伙伴们就要自己购买啦。把usb-Blaster和板子的电源线都插好,打开板子电源开关,然后打开电脑的设备管理器,找到端口,点开,然后右键,更新驱动软件程序软件,选择浏览计算机以查找(不要自动搜索哦),现在quartus II一般有自带的USB-Blaster驱动程序,文件路径一般在X:\quartus\drivers\usb-blaster,选择如下:
Quartus II文件编译下载和USB-Blaster驱动安装_第14张图片
点击下一步,驱动安装一般就完成了(我的电脑系统是WIN10)。
Quartus II文件编译下载和USB-Blaster驱动安装_第15张图片
要注意quartus II 里面有两个驱动文件夹,一个usb-blaster,一个usb-blaster ii如果按照我的图上路径不行的话,就换成usb-blaster ii再试一试。
安装驱动之后再回到下载界面,点击Hardware setup ,选择USB-Blaster:
Quartus II文件编译下载和USB-Blaster驱动安装_第16张图片
点击close,这时可以看到下载界面变成下图:
Quartus II文件编译下载和USB-Blaster驱动安装_第17张图片
然后点击Add file,13版的quartus II 软件要点击工程文件夹里的output_files文件夹,找到JTAG对应的.sof文件(AS对应.pof文件):
Quartus II文件编译下载和USB-Blaster驱动安装_第18张图片
Quartus II文件编译下载和USB-Blaster驱动安装_第19张图片
点击open即可,然后选中该文件:
Quartus II文件编译下载和USB-Blaster驱动安装_第20张图片
点击Start,Progress为100%就下载成功了,这个时候应该可以看到LED流水灯程序运行起来了(如果是AS,需要关闭板子的电源,拔掉USB-Blaster,再打开电源,就可以看到程序运行结果了)。
Quartus II文件编译下载和USB-Blaster驱动安装_第21张图片

这样我们的流水灯程序就完成了辣~这个方法步骤大家也可以运用在任何一个工程文件的代码编写和程序编译下载上!希望对大家有所帮助,嘻嘻。如果有步骤漏掉或者哪里不懂得或者哪里写错啦,欢迎评论私信哈QVQ

下次我会写有关signal tap II的仿真和Modelsim仿真测试的内容,下次再见啦~!!!

你可能感兴趣的:(FPGA学习)