在FPGA板上用Verilog实现车牌识别

一个车牌识别系统先在Matlab中实现,然后将其在FPGA Xilinx Spartan-6上使用Verilog实现。以下是FPGA上系统的测试环境。
在FPGA板上用Verilog实现车牌识别_第1张图片
图像存储器: 存储10个图像并将其转换为.dat格式(gray data)。我们使用$ readmemh(可复合)Verilog 命令,通过读取.dat文件中的gray data来初始化内存。将10个图像转换为Gray格式,并使用Matlab写入.dat文件。

车牌识别识别核心:使用 FPGA上的开关作为“启动”信号来触发此核心工作并输出车牌号。

监视LED :当“完成”信号是上升沿时,该块显示车牌号。
在FPGA板上用Verilog实现车牌识别_第2张图片
完整Verilog代码及测试结果,参阅国外课栈https://viadean.com

你可能感兴趣的:(电子)