tcl命令操作JTAG

用JTAG操作ARTIX 7板子时试过的命令: Hi, I am running the 7015 SOM on an V2 carrier board. Vivado 2015.4 I successfully tried some of the examples, provided by Avnet (e.g. the pz_fmc2_valtest to setup the IDT clock synthesizer on the carrier board). I failed, when try to connect the SDK with the target for direct program download and debug run. The FPGA bitfile gets downloaded from the SDK Xilinx tools menu (via jtag platform cable 2), done LED gets on. But then a message came up, which tells, that the JTAG port is not available. Therefore no debug connection is possible. I tried to connect with the Vivado hardware manager, but failed also. Before the FPGA is programmed I can see the devices (DAP + 7015). After programming I need to restart the hw-server. Here is the log :

start_gui

open_hw

INFO: [IP_Flow 19-234] Refreshing IP repositories

INFO: [IP_Flow 19-1704] No user IP repositories specified

INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/Xilinx/Vivado/2015.4/data/ip'.

connect_hw_server

INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121

INFO: [Labtools 27-2222] Launching hw_server...

INFO: [Labtools 27-2221] Launch Output:

****** Xilinx hw_server v2015.4

**** Build date : Nov 17 2015-18:01:07

** Copyright 1986-1999, 2001-2015 Xilinx, Inc. All Rights Reserved.

open_hw_target

INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/000013e2482101

ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Xilinx/000013e2482101.

Check cable connectivity and that the target board is powered up then

use the disconnect_hw_server and connect_hw_server to re-register this hardware target.

ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.

< I cleared the FPGA here>

ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Xilinx/000013e2482101

disconnect_hw_server localhost:3121

connect_hw_server

INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121

open_hw_target

INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/000013e2482101

current_hw_device [lindex [get_hw_devices] 1]

refresh_hw_device -update_hw_probes false [lindex [get_hw_devices] 1]

INFO: [Labtools 27-1435] Device xc7z015 (JTAG device index = 1) is not programmed (DONE status = 0).

set_property PROBES.FILE {} [lindex [get_hw_devices] 1]

set_property PROGRAM.FILE {D:/Projects/PicoZed/avnet2/hdl/Projects/pz_fmc2_valtest/PZ7015_FMC2/pz_fmc2_valtest.runs/impl_1/pz_fmc2_valtest_wrapper.bit} [lindex [get_hw_devices] 1]

program_hw_devices [lindex [get_hw_devices] 1]

ERROR: [Labtools 27-3165] End of startup status: LOW

program_hw_devices: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 846.707 ; gain = 0.000

ERROR: [Common 17-39] 'program_hw_devices' failed due to earlier errors.

refresh_hw_device [lindex [get_hw_devices] 1]

ERROR: [Labtools 27-2312] Device xc7z015_1 is no longer available.

Check cable connectivity and that the target board is powered up then

use the disconnect_hw_server and connect_hw_server to re-initialize the hardware target.

Use open_hw_target to re-register the hardware device.

close_hw_target {localhost:3121/xilinx_tcf/Xilinx/000013e2482101}

INFO: [Labtoolstcl 44-464] Closing hw_target localhost:3121/xilinx_tcf/Xilinx/000013e2482101

refresh_hw_server {localhost:3121}

open_hw_target {localhost:3121/xilinx_tcf/Xilinx/000013e2482101}

INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Xilinx/000013e2482101

ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Xilinx/000013e2482101.

Check cable connectivity and that the target board is powered up then

use the disconnect_hw_server and connect_hw_server to re-register this hardware target.

ERROR: [Labtools 27-55] Invalid index 0 passed to getDevice

转载于:https://my.oschina.net/u/2963604/blog/3099859

你可能感兴趣的:(tcl命令操作JTAG)