sublime text支持verilog/system verilog的插件

    sublime text是一个极其强大的文本、代码编辑工具,其强大的功能能大大提升编码效率,同时其极快的打开速度和漂亮的外观更让人对其爱不释手。sublime text支持很多中语言的代码编写和语言着色,但是不支持verilog/system verilog。有人写了一些sublime text的插件,用了几个,首推Clams / SublimeSystemVerilog功能最为强大。不但可以自动添加模块名,代码模块等,还可以通过修改插件文件夹中对应关键字文件中的代码模块来实现自定义的自动添加内容。对于其不支持的关键字,可以复制一个已有的关键字文件,修改文件名和希望其自动添加的内容即可。

    通常FPGA开发软件中中文通常编码为GBK格式,而sublime text对GBK支持不好,需要用一个新版的ConvertToUTF8插件来解决。

你可能感兴趣的:(FPGA)