Quartus工程中各文件类型的含义



*.jic           JTAG Indirect Configuration File
*.pin           Pin-Out File
*.pof           Programmer Object File
*.qpf           Quartus II Project File
*.qsf           Quartus II Settings File
*.qws           Quartus II Workspace File
*.rpt           Text-Format Report File
*.sof           SRAM Object File
*.v, *.vh, *.verilog, *.vlg
                Verilog Design File
            




Quartus II工程文件的后缀含义
本文为网络整理,大部分内容来自网络。
File Type
Extension
AHDL Include File
.inc
ATOM Netlist File
.atm
Block Design File
.bdf
Block Symbol File
.bsf
BSDL file
.bsd
Chain Description File
.cdf
Comma-Separated Value File
.csv
Component Declaration File
.cmp
Compressed Vector Waveform. File
.cvwf
Conversion Setup File
.cof
Cross-Reference File
.xrf
database files
.cdb,.hdb,.rdb,.tdb
DSP Block Region File
.macr
EDIF Input File
.edf,.edif,.edn
Global Clock File
.gclk
Graphic Design File
.gdf
HardCopy files
.datasheet,.sdo,.tcl,.vo
Hexadecimal (Intel-Format) File
.hex
Hexadecimal (Intel-Format) Output File
.hexout
HSPICE Simulation Deck File
.sp
HTML-Format Report File
.htm
I/O Pin State File
.ips
IBIS Output File
.ibs
In System Configuration File
.isc
Jam Byte Code File
.jbc
Jam File
.jam
JTAG Indirect Configuration File
.jic
Library Mapping File
.lmf
License File
license.dat
Logic Analyzer Interface File
.lai
Memory Initialization File
.mif
Memory Map File
.map
PartMiner edaXML-Format File
.xml
Pin-Out File
.pin
placement constraints file
.apc
Programmer Object File
.pof
programming files
.cdf,.cof
QMSG File
.qmsg
Quartus II Archive File
.qar
Quartus II Archive Log File
.qarlog
Quartus User-Defined Device File
.qud
Quartus II Default Settings File
.qdf
Quartus II Exported Partition File
.qxp
Quartus II Project File
.qpf
Quartus II Settings File
.qsf
Quartus II Workspace File
.qws
RAM Initialization File
.rif
Raw Binary File
.rbf
Raw Programming Data File
.rpd
Routing Constraints File
.rcf
Signal Activity File
.saf
SignalTap II File
.stp
Simulator Channel File
.scf
SRAM Object File
.sof
Standard Delay Format Output File
.sdo
Symbol File
.sym
Synopsys Design Constraints File
.sdc
Tab-Separated Value File
.txt
Tabular Text File
.ttf
Tcl Script. File
.tcl
Text Design File
.tdf
Text-Format Report File
.rpt
Text-Format Timing Summary File
.tan.summary
Timing Analysis Output File
.tao
Token File
ted.tok
Vector File
.vec
Vector Table Output File
.tbl
vector source files
.tbl,.vwf,.vec
Vector Waveform. File
.vwf
Verilog Design File
.v,.vh,.verilog,.vlg
Verilog Output File
.vo
Verilog Quartus Mapping File
.vqm
Verilog Test Bench File
.vt
Value Change Dump File
.vcd
version-compatible database files
.atm,.hdbx,.rcf,.xml
VHDL Design File
.vhd,.vhdl
VHDL Output File
.vho
VHDL Test Bench File
.vht
XML files
.cof,.stp,.xml
waveform. files
.scf,.stp,.tbl,.vec,.vwf
 


上面这些文件可以分为五类:
    1. 编译必需的文件:设计文件(.gdf、.bdf、EDIF输入文件、.tdf、verilog设计文件、.vqm、.vt、VHDL设计文件、. vht)、存储器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工程文件(.qpf)。
    2. 编译过程中生成的中间文件(.eqn文件和db目录下的所有文件)
    3. 编译结束后生成的报告文件(.rpt、.qsmg等)
    4. 根据个人使用习惯生成的界面配置文件(.qws等)
    5. 编程文件(.sof、.pof、.ttf等)
    上面分类中的第一类文件是一定要保留的;第二类文件在编译过程中会根据第一类文件生成,不需要保留;第三类文件会根据第一类文件的改变而变化,反映了编译后的结果,可以视需要保留;第四类文件保存了个人使用偏好,也可以视需要保留;第五类文件是编译的结果,一定要保留。
    在使用版本控制工具时,我通常保留第一类、第三类和第五类文件。但是第三类文件通常很少被反复使用。
    所以,为了维护一个最小工程,第一类和第五类文件是一定要保留的。 
    此外,当一个项目的设置内容需要转移给另一个项目时,例如引脚分配信息,需要转移.tcl文件而不是.qsf文件。.tcl文件与.qsf文件的关系以及如何生成.tcl文件我会在以后的日志中给出。






Source files (always under source control) 
*.bdf Schematic
*.bsf Symbols
*.inc AHDL include
*.lai Logic analyzer interface
*.mif Memory init
*.ptf SOPC file (old but recommended)
*.qip MegaWizard IP
*.sopc SOPC file
*.tcl Scripts
*.tdf AHDL source
*.v Verilog source
*.vhd VHDL source
*.vwf Simulation stimuli




Assignments & settings (always under source control)


Name.cdf Programming chain settings编程设置文件
Name.qdf Default assignments自动更新,保存全局assignments。
Name.qpf Project file
Name.qsf Assignments (except timing)自动更新,也可以作为导入文件,但是文件名不要一样。
Name.sdc Timing constrains. This file should never be written directly by TimeQuest, since it might get randomly rearranged. Write to a separate file and copy-paste into main file.
Name.srf Message supressions




Output files (normally under source control)


NOTE: Do not generate rbf or ttf files unless they are used
Name.pof Programming file
Name.rbf Programming file (normally not present)
Name.sof Programming file
Name.ttf Output in text format (normally not present)


Special cases (consider source control)


\db\*.* Improves quartus compilation time. Lots of files. If and only if incremental compilation will be used this should be under source control.
\simulation\*.* This folder is for ModelSim. Quartus Simulator works without this folder. If ModelSim is used this should be under source control.




Report files (normally not under source control)


*.done Date
*.qarlog Log of project archiving
*.rpt Reports
*.summary Report summaries




Generated files (never under source control)


\timing\*.* No settings, just generated timing stuff
Name.qws GUI settings (window positions is a user rather than project option)
*.cmp Component declarations
*.dpf -几乎不变,为一个xml文件,当assignment pins时就会产生
*.eqn Equations
*.html -
*.jpg Images generated by some megawizards?
*.pin -
*.ppf -




Backup files (never under source control)


*.bak Backup of single files
*.qar Quartus archive
 
 
原文地址:http://www.alteraforum.com/forum/showthread.php?p=12821




补充: 
 .v ------------------ verilog 文件
 .vhd ----------------- vhdl 文件
 .qpf ----------------- quartus 工程文件
 .vwf ----------------- 矢量波形文件
 .bsf ----------------- 块符号文件
 
 .rpt ----------------- 报告文件
 .qsf ----------------- quartus配置文件
 .summary ----------- summary
 .pin ----------------- 引脚文件
 .sdo ----------------- delay
 .vo ------- ----------- gate level
 .qws ----------------- 工作区文件
 .pof ----------------- 编程对象文件
 .sof ------------------ SRAM对象文件
 .qar ------------------工程归档文件
 .SDK -----------------system development kit
 .mif ------------------memory initial file
 .ISO ----------------- 是ISO9660标准下的文件形式
 .srec ----------------S record
 .tdf ------------------text design file
 .gdf -----------------graphic desing file
 HAL------------------Nios II运行库(或者称为硬件抽象层(HAL))
 .tcl-------------------tool command language 

你可能感兴趣的:(FPGA)