通过FPGA axi_quad_spi IP核操作flash的顺序

initialize

40:0000_000A 复位

1C:8000_0000 使能全局中断

28:0000_0004 打开发送寄存器(SPI DTR)空中断

Write Enable Command Sequence

step1:

60:000001E6 	主机传输禁止  复位RX、TX FIFO  相位CPHA=0 极性CPOL=0  配置主机模式  使能SPI system

60:00000186 	取消复位RX、TX FIFO

step2:

68:{24'h000000,cmd} 		数据发送寄存器填充命令 0x06:写使能命令

68:{24'h000000,add0}		

68:{24'h000000,add1}		

68:{24'h000000,add2}		填充地址

68:{24'h000000,DUMMY_DATA} 

68:{24'h000000,DUMMY_DATA} 	填充结束

step3:

70:00000000 	选通从机		ps:只需传输第一组数据时操作

step4:

60:00000086 	主机传输允许

等待传输完成......

20:00000004 	清零发送寄存器(SPI  DTR)空标志位

step5:

70:000000001	断开从机 	ps:只需传输最后一组数据时操作

step6:

60:00000186 	主机传输禁止

你可能感兴趣的:(FPGA)