Vivado使用技巧(5):属性编辑器的使用

在选中文件、单元、设计或I/O时,属性窗口(Properites)中都会显示相关属性。修改单个文件的属性时直接在该属性窗口中修改即可,但当我们需要批量修改多个对象的属性时,就需要借助属性编辑器(Property Editor)。


启动属性编辑器

点击Tools->Property Editor打开属性编辑器,打开时为空白。选中需要编辑属性的对象(如Sources窗口、NetList窗口中的对象等),点击属性编辑器上方的“+”添加到窗口中,点击“-”可以移除:
Vivado使用技巧(5):属性编辑器的使用_第1张图片


批量修改属性

批量修改功能的实现借助于“+”左边的两个箭头:Fill upFill down(或者右键菜单中)。假设我要将4个VHDL文件的文件类型批量修改为VHDL 2008。将需要修改的对象选中(使用CTRL和SHIFT按键),首先将选中列表的第一个对象属性修改为VHDL 2008:
Vivado使用技巧(5):属性编辑器的使用_第2张图片
点击Fill down按钮,该属性值将填充到整个选中列表:
Vivado使用技巧(5):属性编辑器的使用_第3张图片
或者修改选中列表的最后一个对象属性,点击Fill up填充。


属性编辑器设置

我们可以对属性编辑器做一些设置来满足我们的需求,点击属性编辑器中的“螺母”设置按钮,弹出如下窗口:
Vivado使用技巧(5):属性编辑器的使用_第4张图片
选中“Group Header”可以将一些相关的属性按组分类,如下图:
Vivado使用技巧(5):属性编辑器的使用_第5张图片
ISOLATED、ISOLATED_EXEMPT、RECONFIGURABLE、TANDEM这四个属性相互关联,因此会排列到HD这个Group中。

设置的Types中根据对象的类型来选择隐藏或显示对象(因为不同类型的对象其属性一般相差很大);Properties显示了添加到属性编辑器的所有对象的所有属性,可以选择显示或隐藏某些属性值。

你可能感兴趣的:(FPGA)