AD9516-1时钟芯片配置

特性:

VCO频率范围:1750Mhz~2250Mhz,6对LVPECL(最大输出频率1.6Ghz)输出和4对LVDS输出(800Mhz)。

内部结构:

         如下图所示,常用引脚说明:

REF1:参考输入1,

REF2:参考输入2,

REF_SEL:选择是参考输入1或者参考输入2

LF:环路滤波器输出,使用内部VCO时使用

CLK:外部VCO输入

SYNC:同步输入,低有效,如果不同步,直接拉高。

REFMON:参考的输出,详细看datasheet

STATUS:状态输出,详细看datasheet

LD:            PLL状态指示,详细看datasheet。

AD9516-1时钟芯片配置_第1张图片

 

 

需要用的工具

https://download.csdn.net/download/qr_ljj/10635074

使用步骤

软件界面如下:

AD9516-1时钟芯片配置_第2张图片

输入你的参考时钟,我以我的自己的例子来讲解哈。

1我使用了REF1=10Mhz,那么在REF1中输入10,并选择为输入(点击黄色块)。

        2配置N分频和R分频,这个要稍微计算下咯。以R=3为例。VCO的频率范围为2300Mhz~2650Mhz,则N的范围为2300/10~2650/10即230~265,则可选的值为230~265(N DIVIDER / R DIVIDER)

考虑到我的输出,选择N=720,同时选择内部VCO作为N分频的输入N = (P * B) + A; REF1 / R = VCO / N 。

AD9516-1时钟芯片配置_第3张图片

            3根据需要配置输出,并选择PLL MODE 为NormOp

AD9516-1时钟芯片配置_第4张图片

 4导出配置文档,导出的文档格式为stp格式,可以直接用记事本打开。

AD9516-1时钟芯片配置_第5张图片

        5查看配置文档,找出变化了寄存器值(也可以不找出,将全部寄存器值写进去也可以)。

配置注意事项

         在配置完成后注意校准VCO。

         示例如下:

——遇见的问题——

1、  输出频率有偏差,但频率稳定(PLL锁定了),检查参考频率,参考频率的极小偏差都有可能导致输出频率偏差

2、  输出为直流,检查SYNC电平,应该为高。

你可能感兴趣的:(FPGA)