FPGA学习笔记——计数器

计数器简介

1、计数器的逻辑功能是记录时钟脉冲的个数

2、能够记录的最大值为计数器的模

3、基本原理是将几个触发器按照 一定顺序连接起来,根据触发器的组合状态,按照一定技术,随着时钟脉冲的变化记录时钟脉冲的个数

4、根据输出端的接线方式可以实现不同进制的计数器

RTL:

FPGA学习笔记——计数器_第1张图片

TB:

FPGA学习笔记——计数器_第2张图片

WAVEFORM:

在这里插入图片描述

你可能感兴趣的:(学习笔记)