ZYBO学习笔记(一)- PL端实现流水灯

本篇文章使用的Vivado版本是2015.4

硬件说明

1.板载LED
ZYBO学习笔记(一)- PL端实现流水灯_第1张图片

2.PL输入时钟
ZYBO学习笔记(一)- PL端实现流水灯_第2张图片
外部晶振经过PHY之后输出125MHZ时钟到PL

Vivado工程建立

这里只说明需要设置的地方,没说明则保持默认值
0.Vivado安装Board Support Files
参考教程

1.选择Create New Project
ZYBO学习笔记(一)- PL端实现流水灯_第3张图片

2.在指定目录建立工程
ZYBO学习笔记(一)- PL端实现流水灯_第4张图片

3.Boards选择zybo,IC型号xc7z010clg400-1
ZYBO学习笔记(一)- PL端实现流水灯_第5张图片

4.最后确认界面
ZYBO学习笔记(一)- PL端实现流水灯_第6张图片

代码编写

Project Manager -> Add sources添加Verilog文件

ZYBO学习笔记(一)- PL端实现流水灯_第7张图片

verilog

实验现象为板载led以100ms频率闪烁。

module (
    input clk, //input 125mhz
    input rst_n,
    output reg [3:0] led
);

parameter T100MS = 24'd12500_000 - 1'd1;
    
reg [23:0] C1;

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        C1 <= 24'd0;
        led <= 4'b1111;
    else if(C1 == T100MS) begin
        led = ~led;
        C1 <= 24'd0;
    end
    else
        C1 <= C1 + 1'b1;
end

endmodule

添加引脚约束

首先在https://github.com/Digilent/digilent-xdc/下载Zybo-Master.xdc文件
Project Manager -> Add sources添加约束

ZYBO学习笔记(一)- PL端实现流水灯_第8张图片

选择下载的文件
ZYBO学习笔记(一)- PL端实现流水灯_第9张图片

把代码用到的引脚取消注释,并且引脚名称需要和约束文件对应
ZYBO学习笔记(一)- PL端实现流水灯_第10张图片

生成bitstream

点击Program and Debug -> Generate Bitstream

ZYBO学习笔记(一)- PL端实现流水灯_第11张图片

下载程序

生成文件一切顺利之后点击Open Harware Manager打开烧写窗口

ZYBO学习笔记(一)- PL端实现流水灯_第12张图片

选择从QSPI运行

ZYBO学习笔记(一)- PL端实现流水灯_第13张图片

点击自动寻找ZYBO

ZYBO学习笔记(一)- PL端实现流水灯_第14张图片

选择需要下载的bit流文件

ZYBO学习笔记(一)- PL端实现流水灯_第15张图片

看到实验现象

参考
zybo board 開發記錄: 透過可程式邏輯控制 LED 閃爍

你可能感兴趣的:(fpga,verilog)