Quartus如何设置虚拟管脚Virtual Pin(具体设置方法)

参考链接:如何在Quartus II中设置Virtual pin及常见问题_林晓海的博客-CSDN博客

(原创)QuartusII设置虚拟引脚(Virtual Pin)_weixin_30299539的博客-CSDN博客

报错信息:Error (169281): There are 515 IO input pads in the design, but only 180 IO input pad locations available on the device.

报错原因:为了验证FPGA工程中的某个模块的功能和时序的正确性,常常需要对其单独进行验证,但是这些模块通常都与内部的众多信号相连(如系统总线,中断信号线等),往往一个模块的对外接口引脚会多达几百个,对其单独仿真的话,可能会对目标FPGA造成IO资源不足的情况。即使IO资源满足,当众多内部信号变成IO信号时,模块内部的信号将增加额外的IO延时,增加了时序约束的复杂度,在编译时会出现此类报错。

解决方法:

在Quartus II中Assignments->Assignment Editor,

在Category栏选择logic options,

到列表中To列下添加要设置的引脚接口,如果需要设置的很多,可以通过在Pin Planner中将引脚复制过来。

将Assignment Name设置为Virtual Pin,

将Value设置为On,

Enabled 设置为Yes。

“到列表中To列下添加要设置的引脚接口,如果需要设置的很多,可以通过在Pin Planner中将引脚复制过来。”的具体操作:

1、打开pinplanner

Quartus如何设置虚拟管脚Virtual Pin(具体设置方法)_第1张图片

2、复制全部管脚的“Node Name”

(注:笔者版本为Quartus|| 13.0,左边一列无法单独copy,故而选择两列copy,后续paste后自动会只保留Node Name列)

Quartus如何设置虚拟管脚Virtual Pin(具体设置方法)_第2张图片

选中,右键 Edit-Copy

3、右键粘贴(Paste)至To列

 Quartus如何设置虚拟管脚Virtual Pin(具体设置方法)_第3张图片

 4、将Assignment Name和Value列均补充完毕(类似于Excel下拉填充操作),类似于上图第一行,左边出现一个绿色的√即可。

Quartus如何设置虚拟管脚Virtual Pin(具体设置方法)_第4张图片

5、Ctrl+S保存,一直点确认就OK。重新编译。

6、报错解除,编译成功。

Quartus如何设置虚拟管脚Virtual Pin(具体设置方法)_第5张图片

 

 

 

你可能感兴趣的:(fpga开发)