基于FPGA的spi通信接口VHDL设计

目录

1.算法概述

2.仿真效果

3.MATLAB仿真源码


1.算法概述

基于FPGA的spi通信接口VHDL设计_第1张图片

        SPI是串行外设接口(Serial Peripheral Interface)的缩写,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布

你可能感兴趣的:(fpga开发,spi通信接口,VHDL设计)