FPGA 以太网 UPD IP 协议实现 fpga 千兆以FPGA 以太网

FPGA 以太网 UPD IP 协议实现 fpga 千兆以FPGA 以太网 UPD IP 协议实现 fpga 千兆以FPGA 以太网 UPD IP 协议实现, fpga 千兆以太网接口控制器,FPGA UDP IP协议实现 在FPGA上实现UDP通信,Verilog HDL描述语言实现,数据链路层,网络层,传输层有纯逻辑实现。
接口为GMII接口,与外部phy对接。
实验器件为s6,因此编译环境用的是ISE14.7。
换vivado轻松无压力,随意移植。
FPGA 以太网 UPD IP 协议实现 fpga 千兆以FPGA 以太网_第1张图片

ID:6958652417958173

FPGA 以太网 UPD IP 协议实现 fpga 千兆以FPGA 以太网_第2张图片

你可能感兴趣的:(matlab)