chisel开发环境搭建(intellij)

chisel开发环境搭建

  • 介绍
  • 目录
    • 1.相关概述
      • 1.1 安装环境说明
      • 1.2 参考资料
    • 2.安装intellij
      • 2.1 安装jdk1.8:
      • 2.2 安装intellij
      • 2.3 申请学生免费授权
    • 3.安装scala支持
    • 4.安装chisel支持

介绍

chisel语言是一种硬件描述语言,是由美国加州大学伯克利分校基于scala语言开发的;学习这种语言,需要一定的编程基础,最好有java或scala语言基础;

目录

1.相关概述
	1.1 安装环境说明
	1.2 参考资料
2.安装intellij
	2.1 安装jdk1.8
	2.2 安装intellij
	2.3 申请学生免费授权
3.安装scala支持
4.安装chisel支持

1.相关概述

1.1 安装环境说明

<1> 操作系统版本: Ubuntu-16.04
<2> java版本:jdk-1.8
<3> intellij版本:2017.3.6 for Linux without JDK (tar.gz)
<4> scala-sdk版本:v2.11.8

1.2 参考资料

<1> intellij下载地址:https://www.jetbrains.com/idea/download
<2> 学生免费授权申请地址:https://www.jetbrains.com/zh/student/

2.安装intellij

2.1 安装jdk1.8:

<1>下载java se工具:https://www.oracle.com/technetwork/java/javase/downloads/jdk8-downloads-2133151.html
<2>解压:tar -xvf jdk-8u161-linux-x64.tar.gz
<3>设置路径:

vim ~/.bashrc	
	#JAVA
	export JAVA_HOME=$HOME/Java/jdk1.8.0_161/
	export JRE_HOME=$JAVA_HOME/jre
	export PATH=$JAVA_HOME/bin:$JRE_HOME/bin:$PATH
	export CLASSPATH=$JAVA_HOME/lib:$JRE_HOME/lib:.
source ~/.bashrc
javac -version

2.2 安装intellij

<1> sudo tar -xf ideaIU-2017.3.4-no-jdk.tar.gz
<2> sudo mv idea-IU-173.4548.28 /opt
<3> cd /opt/idea-IU-173.4548.28/bin
<4> ./idea.sh

2.3 申请学生免费授权

<1> 进入申请网站,点击“立即申请”,并按照要求填写“学校邮箱”和“个人信息”等资料,最终获得license code
<2> 打开intellij->configure->manage license->Activation code,输入license code

3.安装scala支持

<1> 使用intellij打开任意一份scala代码文件,intellij提示你“未安装scala支持,是否安装”,如下图,请选择安装;
chisel开发环境搭建(intellij)_第1张图片
<2> 创建新工程,点击”Create New Project“
chisel开发环境搭建(intellij)_第2张图片
<3> 先选scala工程,再选IDEA方式创建
chisel开发环境搭建(intellij)_第3张图片

<4> 设置工程名、工程地址、JDK版本、Scala SDK版本
chisel开发环境搭建(intellij)_第4张图片
<5> 如果sdk没有安装请选择Create->download,下载并安装
chisel开发环境搭建(intellij)_第5张图片
<6> 点击finish,创建scala工程成功
chisel开发环境搭建(intellij)_第6张图片
<7> 右击src->new->scala class,选择为object,命名为”helloworld“
chisel开发环境搭建(intellij)_第7张图片

<8> 编写程序,打印”hello world“, 并右击run,运行程序
chisel开发环境搭建(intellij)_第8张图片

4.安装chisel支持

<1> 首先按照 “3.安装scala支持” 的介绍,创建chisel工程,不过在第二步需要选择“sbt”,而不是“IDEA”
chisel开发环境搭建(intellij)_第9张图片
<2> 创建chisel工程后,打开build.sbt,并添加上下面三句,并等待库更新

scalaVersion := "2.11.12"
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.1.2"
libraryDependencies += "edu.berkeley.cs" %% "chisel-iotesters" % "1.2.3"

chisel开发环境搭建(intellij)_第10张图片
<4> 编写程序,打印”nihao“和“hello”, 并右击run,运行程序
chisel开发环境搭建(intellij)_第11张图片

你可能感兴趣的:(risc-v,risc-v,intellij,chisel)