Chirp-Z变换 Vivado-Verilog实现

Chirp-Z变换原理
软件版本:Vivado 2018.3
使用到的IP核版本:Complex Multiplier(6.0)、Fast Fourier Transform(9.1)、Block Memory Generator(8.4)。

实现步骤

  1. 选择一个最小整数 L L L,使其满足 L ≥ N + M − 1 L\ge N+M-1 LN+M1,同时 L = 2 m L=2^m L=2m
  2. h ( n ) h(n) h(n)的主值序列 h ^ ( n ) \hat h(n) h^(n),并计算DFT;
    h ^ ( n ) = { W − n 2 2 0 ≤ n ≤ M − 1 任意值 N ≤ n ≤ L − 1 W − ( n − L ) 2 2 L − N + 1 ≤ n ≤ L − 1 \hat h(n)=\left\{\begin{array}{ll} W^{-\frac{n^2}{2}} & 0\le n \le M-1 \\ \text{任意值} & N \le n \le L-1 \\ W^{-\frac{(n-L)^2}{2}} & L-N+1\le n \le L-1 \end{array}\right. h^(n)= W2n2任意值W2(nL)20nM1NnL1LN+1nL1
    H ( k ) = D F T [ h ^ ( n ) ] ,    L 点 H(k)=DFT[\hat h(n)],\ \ L点 H(k)=DFT[h^(n)],  L
  3. x ( n ) x(n) x(n)加权、补零,并计算DFT;
    g ( n ) = { x ( n ) A − n W n 2 2 0 ≤ n ≤ N − 1 0 N ≤ n ≤ L − 1 g(n)=\left\{\begin{array}{ll} x(n)A^{-n}W^{\frac{n^2}{2}} & 0\le n \le N-1 \\ 0 & N \le n \le L-1 \end{array}\right. g(n)={x(n)AnW2n200nN1NnL1
    G ( k ) = D F T [ g ( n ) ] ,    L 点 G(k)=DFT[g(n)],\ \ L点 G(k)=DFT[g(n)],  L
  4. Y ( k ) = G ( k ) H ( k ) ,    L 点 Y(k)=G(k)H(k),\ \ L点 Y(k)=G(k)H(k),  L
  5. y ( n ) = I D F T [ Y ( k ) ] ,    L 点 y(n)=IDFT[Y(k)],\ \ L点 y(n)=IDFT[Y(k)],  L
  6. X ( z k ) = W k 2 2 y ( k ) ,    0 ≤ k ≤ M − 1 X(z_k)=W^{\frac{k^2}{2}}y(k),\ \ 0 \le k \le M-1 X(zk)=W2k2y(k),  0kM1

实现框图

Chirp-Z变换 Vivado-Verilog实现_第1张图片

Schematic

在这里插入图片描述

仿真结果

Vivado

输入 x ( n ) x(n) x(n) 共512点,采用16bit量化,输出 X ( k ) X(k) X(k)共512点,为16bit量化。
三个ROM中的COE文件采用16bit二进制补码格式。FFT IP核采用1024点,16bit配置。
注意:FFT IP核中正变换缩放系数为2048,逆变换不缩放。
Chirp-Z变换 Vivado-Verilog实现_第2张图片
输出Chirp-Z变换结果的实部和虚部。
Chirp-Z变换 Vivado-Verilog实现_第3张图片

MATLAB:

Chirp-Z变换 Vivado-Verilog实现_第4张图片

你可能感兴趣的:(FPGA,笔记,FPGA,CZT,MATLAB,Chirp-Z)