VHDL语法相关

一、实体、结构体

  1. 以下内容对应《VHDL入门.解惑.经典实例.经验总结》第二章。

  2. 实体(entity)定义输入输出接口,结构体(architecture)描述内部功能。

  3. 一个实体可以对应很多个结构体,但同一时间只能有一个结构体被使用

  4. 实体名必须与文件名相同

  5. 实体声明格式如下:
    VHDL语法相关_第1张图片

  6. 端口方向种类如下:
    VHDL语法相关_第2张图片
    VHDL语法相关_第3张图片

  7. 结构体语法如下:
    VHDL语法相关_第4张图片

二、数据类型、数据对象、运算符

  1. VHDL是强类型语言,

你可能感兴趣的:(FPGA,VHDL,FPGA)