Modulesim Error: (vsim-3033) The design unit was not found的解决办法

找不到模块,有可能是你的模块名称写错了;模块语法有错误,没有编译成功;还有可能是库文件你没有包含进去。

下面讲讲加载库文件的方法。在我的上一篇文章中,已经给出了找到库中特定模块的方法。按照这个方法找到模块后,记住库的名称。

点击菜单Simulation->Start Simulation。在弹出的对话框中,选择Libraries标签页,点击Add...,在弹出的对话框中点击向下的箭头。

选中库就能加载这个库了。然后再进入Design标签页中,选择待仿真的模块,按下底部的OK即可进行仿真了。

Modulesim Error: (vsim-3033) The design unit was not found的解决办法_第1张图片

你可能感兴趣的:(Modelsim,fpga,verilog)